11
Facultad Ingeniería Electrónica: 07 de abril del 2015 RESUMEN Cuando nos decidimos a diseñar el BOOLE-DEUSTO (a partir de ahora BOOLE a secas) hacecasi 10 años lo hicimos porque pensamos que los entornos profesionales de análisis y diseño nocubrían las necesidades académicas, y porque aquellos entornos más específicos para el aula nocubrían todas las expectativas. Esto no quiere decir que BOOLE venga a sustituir a por ejemplo Electronics WorkBench, ISE de Xilinx, Or-CAD, etc., simplemente busca ayudar a los profesoresy alumnos de diseño digital.Con la anterior declaración nace ya la primera restricción: sistemas combinacionales a nivel debit y autómatas, y no a nivel de palabra (sumadores, codificadores, contadores, etc), aspecto yaperfectamente cubierto por el EWB, por ejemplo. El ámbito de uso de BOOLE es el aula en elprimer curso de diseño lógico, y por tanto los ejercicios han de ser pequeños. Bien es verdadque hemos usado BOOLE para sistemas combinacionales de 10 entradas y autómatas con más de 20 estados, pero no es la idea inicial, y deberíamos evitar el uso profesional del BOOLE para fabricar circuitos, a no ser que estemos muy seguros de la bondad del BOOLE, situación quedeberán ir marcando los propios usuarios Palabras claves: conbinaciones, Or-CAD, workbench. When we decided to design the BOOLE-DEUSTO (from now BOOLE plain) hacecasi 10 years we did because we thought that professional environment analysis and design nocubrían academic needs, and because those environments more specific classroom nocubrían all expectations. This does not mean that BOOLE come to replace Electronics WorkBench for example, BOOLE DEUSTO , Solórzano Martel Henry Marino Universidad Nacional del Callao, Facultad de Ingeniería Electrónica

Documentcc

Embed Size (px)

DESCRIPTION

boole

Citation preview

Facultad Ingeniera Electrnica: 07 de abril del 2015

RESUMENBOOLE DEUSTO, Solrzano Martel Henry Marino

Universidad Nacional del Callao, Facultad de Ingeniera Electrnica

Cuando nos decidimos a disear el BOOLE-DEUSTO (a partir de ahora BOOLE a secas) hacecasi 10 aos lo hicimos porque pensamos que los entornos profesionales de anlisis y diseo nocubran las necesidades acadmicas, y porque aquellos entornos ms especficos para el aula nocubran todas las expectativas. Esto no quiere decir que BOOLE venga a sustituir a por ejemplo Electronics WorkBench, ISE de Xilinx, Or-CAD, etc., simplemente busca ayudar a los profesoresy alumnos de diseo digital.Con la anterior declaracin nace ya la primera restriccin: sistemas combinacionales a nivel debit y autmatas, y no a nivel de palabra (sumadores, codificadores, contadores, etc), aspecto yaperfectamente cubierto por el EWB, por ejemplo. El mbito de uso de BOOLE es el aula en elprimer curso de diseo lgico, y por tanto los ejercicios han deser pequeos. Bien es verdadque hemos usado BOOLE para sistemas combinacionales de 10 entradas y autmatas con ms de 20 estados, pero no es la idea inicial, y deberamos evitar el uso profesional del BOOLE para fabricar circuitos, a no ser que estemos muy seguros de la bondad del BOOLE, situacin quedebern ir marcando los propios usuarios

Palabras claves: conbinaciones, Or-CAD, workbench.

When we decided to design the BOOLE-DEUSTO (from now BOOLE plain) hacecasi 10 years we did because we thought that professional environment analysis and design nocubran academic needs, and because those environments more specific classroom nocubran all expectations. This does not mean that BOOLE come to replace Electronics WorkBench for example, Xilinx ISE, Or-CAD, etc., simply seeks to help students design profesoresy digital.Con the above statement is born the first constraint: combinational systems debit and automatons level, not word level (adders, encoders, counters, etc.) yaperfectamente aspect covered by the EWB, for example. The scope of use Boolean is elprimer classroom course in logic design, and therefore exercises must be small. It is verdadque BOOLE have used for 10 inputs combinational systems and robots with more than 20 states, but not the initial idea, and we should avoid the use of BOOLE professional to manufacture circuits, unless you are very confident of the goodness of Boole quedebern situation will be marking the users

Keywords: COMBINATIONS, Or-CAD workbench.Introduccin En este apndice presentaremos el entorno BOOLE-DEUSTO de anlisis y diseo de sistemas digitales combinacionales y secuenciales a nivel de bit. Este entorno est orientado a las necesidades del alumno y el profesor, sobre todo en los primeros pasos de su aprendizaje. BOOLE est orientado a una disciplina denominada genricamente Sistemas Digitales, que consta de tres grandes temas: Fundamentos de Sistemas Digitales: codificacin, lgebra de Boole y representacin de sistemas digitales. Anlisis y Diseo de Sistemas Combinacionales: a nivel de bit y de palabra. Anlisis y Diseo de Sistemas Secuenciales: a nivel de bit y de palabra.El profesor en clase enuncia el mtodo a aplicar y completa algn ejemplo. El alumno en casa debe consolidar lo aprendido, completando nuevos ejercicios. El papel de un entorno educativo en este escenario es doble: Comprobar que los resultados obtenidos por el alumno estn bien. Mostrar al alumno cmo se aplica un mtodo; recordarle los pasos. Para que el entorno lleve a cabo con xito su trabajo debe tener una serie de caractersticas: Completo. Debe contemplar el mayor nmero posible de mtodos. Utilizable. Debe adaptarse a los conocimientos y posibilidades del alumno. Amigable. Debe ser fcil e intuitivo de usar y visual en los resultados. Didctico. Debe mostrar todos los pasos que le llevan a un resultado. Instalable. Debe ser fcil de instalar. Asequible. Debe tener el menor precio posible. En no pocas ocasiones los entornos computacionales no ayudan al alumno; es ms, le confunden, generando frustracin y desconocimiento. A nuestro parecer es mejor no utilizar un entorno computacional si ste es inadecuado.Estudiemos a la luz de los puntos anteriores la idoneidad didctica de los entornos profesionales como OrCAD, MAX PLUS II, XILINX Foundation, Electronic WorkBench, etc. En primer lugar recordemos que estos entornos son profesionales; su objetivo es ayudar en el diseo de circuitos electrnicos, no ayudar al alumno. Veamos algunas de sus caractersticas: No le interesan los mtodos, le interesan sus resultados: los circuitos electrnicos. Sus usuarios finales son los profesionales. Su uso es tan potente como complicado. No son didcticos; slo buscan los resultados. Son difciles de instalar. Son generalmente caros. Resulta tan evidente como sencillo que los objetivos de los entornos profesionales estn alejados de las necesidades del profesor y del alumno en el aula. La tabla 13.1 compara ambos entornos, resaltando las diferencias.

Tabla 13.1 Comparacin entre BOOLE y los entornos profesionales Todo lo anterior viene a fortalecer la necesidad de un entorno completo y didctico en la disciplina de sistemas digitales: BOOLE-DEUSTO. Aspectos bsicos de uso del BOOLEDEUSTO El entorno BOOLE-DEUSTO es muy fcil de utilizar, es ms, ste es uno de los objetivos principales, incluso ms importante que cubrir una mayor parte de la asignatura. El entorno ha de ser til al alumno y al profesor con poco esfuerzo. Se puede decir que 15 minutos son ms que suficientes para ensear al alumno a utilizar su parte combinacional, y otro tanto para su parte secuencial. En los prximos apartados se presentar en detalle el entorno BOOLE-DEUSTO, pero es ahora momento de recalcar los aspectos bsicos: Los sistemas han de ser secuenciales o combinacionales, pero siempre a nivel de bit. Los sistemas combinacionales tienen como ncleo a la tabla de verdad, mientras que los secuenciales son autmatas. Los sistemas combinacionales tienen como mximo 10 variables de entrada. *** Los sistemas combinacionales soportan como mximo y en principio ****** Los nombres de las variables pueden ser cambiados. Los autmatas pueden ser de Moore o de Mealy. Al describir un sistema hay que empezar dndole nombre e indicando el nmero de variables de entrada y de salida. Al salir de una pantalla de captura de datos hay que pulsar siempre Evaluar (y Salir) para que los datos sean actualizados. Las funciones se cargan y se visualizan de una en una, utilizando la barra de desplazamiento. Las tablas de verdad y diagramas de Veitch-Karnaugh no deben tener huecos (se puede utilizar la opcin Completar con). Se pueden imprimir los resultados tanto para sistemas secuenciales como combinacionales. Los elementos grficos (circuitos lgicos y diagramas de transicin de estados) pueden ser copiados al portapapeles, y de l a cuaquier documento. Todo sistema, ya sea combinacional o secuencial, puede ser guardado y cargado como un fichero. Las pantallas que tengan alguna complicacin disponen de Ayuda. Para describir el entorno BOOLE nos apoyaremos en ejemplos. Instalacin y uso Para instalar BOOLE bastar con copiar el ejecutable, o con copiar y descomprimir el fichero .zip. La instalacin no puede ser ms sencilla, de hecho fue un requisito a la hora de disear el entorno. De este modo el alumno le basta con crear una carpeta (o no), copiar el programa, hacer doble clic sobre l y empezar a trabajar. En cuanto al uso, ste es libre para cualquier usuario, quedando expresamente prohibida su distribucin comercial de cualquier modo sin el consentimiento de los autores (BOOLE est en el Registro de la Propiedad Intelectual). Se solicita a todos los usuarios que se registren en la direccin electrnica [email protected], y as poder enviarles las nuevas versiones del entorno. Sistemas combinacionales con BOOLE Antes de pasar a BOOLE, recordemos qu es disear. El diseo de un sistema combinacional pasa por varias fases: Leer y entender el enunciado. Determinar las variables de entrada y salida. Obtener de la tabla de verdad. Obtener las formas normales de cada variable de salida. Obtener los diagramas de VeitchKarnaugh de cada salida. Simplificar cada V-K, obteniendo la expresin simplificada. Opcionalmente, reescribir la expresin anterior desde las puertas NAND o NOR. Obtener el circuito lgico. Implementar el circuito digital con circuitos integrados. Probar el circuito implementado. Los dos primeros pasos deben ser dados por el alumno/profesor, y los dos ltimos quedan fuera del BOOLE; pertenecen al laboratorio, con programas como Electronic WorkBench, OrCAD, etc. Centrmonos mediante un ejemplo en los seis pasos restantes. Ejempl de sistema combinacional El ejercicio que se propone consiste en disear el circuito transcodificador de BCD puro a BCD Aiken. Las variables de entrada son cuatro, las mismas que de salida. As pues la tabla de verdad a completar tendr 16 filas y cuatro salidas.La figura 13.1 muestra la primera imagen que el alumno ve al activar BOOLE; en esta pantalla elegir la opcin Sistemas Combinacional es.

Figura 13.1Pantalla inicial de BOOLE La siguiente imagen ser la de la figura 13.2. En ella el alumno obligatoriamente debe indicar el nombre del sistema, el nmero de variables de entrada y el nmero de variables de salida. Opcionalmente podr dar un nombre a las variables, teniendo en cuenta que BOOLE asigna un nombre por defecto.

Figura 13.2 Declaracin del sist. combinacional Una vez declarado el sistema, el alumno debe describirlo. La figura 13.3 muestra todas las opciones que ofrece BOOLE; en este caso optaremos por la opcin Tabla de Verdad Manual.

Figura 13.3 Operaciones booleanas de BOOLE Al activar esta opcin el alumno ver las 16 filas ordenadas, debiendo escribir l las salidas mediante clics de ratn. Al ponerse encima de una casilla, cada clic de ratn cambia el valor booleano. Adems no hay que cumplimentar toda la tabla, se pueden escribir slo los 1s y rellenar automticamente el resto con 0s, o escribir slo los 1s y 0s y rellenar el resto con condiciones libres. La figura 13.4 muestra la tabla de verdad del transcodificador. Para que el sistema sea cargado es necesario activar Evaluar, y luego Salir. A partir de este momento todas las operaciones de BOOLE sern aplicadas a este sistema.

Figura 13.4Tabla de Verdad ManualAl volver a la figura 13.3, si el alumno activara Forma Normal Disyuntiva obtendra la figura 13.5. En ella slo se ve una salida, la primera, para ver las restantes basta con pulsar en la barra de desplazamiento de la derecha

Figura 13.5Forma Normal Disyuntiva Si el alumno quisiera, podra cambiar el sistema borrando los nmeros de F1 y escribiendo otros nuevos. Pero sigamos con el sistema tal y como lo hemos descrito al principio. Si despus de pulsar Salir en la anterior figura, el alumno pulsara V-K en la pantalla principal, se encontrara con la figura 13.6. Para ver los V-K de las restantes salidas, bastar con pulsar en la barra de desplazamiento. Adems de la opcin V-K el alumno dispone de V-K 2; con esta opcin obtendra un V-K ordenado de distinta manera (segn el cdigo Gray). De esta forma BOOLE busca adaptarse a las necesidades de los distintos profesores y alumnos.

Figura 13.6Diagrama de Veitch-Karnaugh El anterior V-K tiene por objetivo facilitar la simplificacin visual de la funcin. Al pulsar Salir en la figura 13.6 y Expr. SOP Simplificada en la pantalla principal de la figura 13.3, el alumno obtendr las expresiones simplificadas de cada una de las salidas. Dichas expresiones lo sern en forma de suma de productos (SOP), aunque tambin podra haber optado por POS. Las expresiones obtenidas son mnimas necesariamente (el algoritmo implementado es recursivo y exacto), aunque el conjunto no tiene por qu serlo, es decir, simplifica cada funcin por separado. La figura 13.7 muestra la expresin mnima de la salida 2 (para ver el resto pulsaremos en la barra de desplazamiento).

Figura 13.7Expresin SOP Simplificada Si el alumno quisiera reescribir las salidas desde las puertas NAND o NOR, le bastara con activar Nand/Nor en la anterior figura. La figura 13.8 es un ejemplo de ello

Figura 13.8Expresiones NAND/NOR Para ver los circuitos lgicos el alumno deber pulsar Visualizar Circuito en la figuras 13.7 o 13.8, resultando las figuras 13.9 y 13.10.

Figura 13.9Circuito Lgico AND-OR

Figura 13.10 Circuito Lgico NAND Llegado a este punto el alumno habr completado todo el proceso de diseo paso por paso. Aunque si hubiera querido tambin habra podido introducir la tabla de verdad y seguidamente pulsar Expr. SOP Simplificada, ahorrndose los pasos intermedios; actitud que se debe evitar mientras se est aprendiendo a disear. Antes de pasar a disear otro sistema combinacional, el alumno podr guardar el sistema, imprimir los resultados obtenidos u obtener el cdigo correspondiente al sistema en OrCAD-PLD. La figura 13.11 muestra dichas opciones en la pantalla principal.

Figura 13.11 Servicios generales de BOOLE Si se optara por Guardar PLD, se obtendra un programa (ver figura 13.12) listo para ser compilado en OrCAD y finalmente grabado en la PAL elegida.

Figura 13.12 Programa en OrCAD-PLDConclusiones:El entorno BOOLE ha sido diseado y programado durante cinco aos por sus autores, Jess Sanz Martnez y Javier Garca Zuba, y por alumnos de la Facultad de Informtica de la Universidad de Deusto (ESIDE), destacando entre estos ltimos Borja Sotomayor. Se han utilizado la tecnologa orientada objetos, el lenguaje de programacin C++ y la librera STL. El resultado final tiene en este momento ms de 20.000 lneas de cdigo (excluyendo comentarios y lneas en blanco), lo que da una idea de la complejidad del entorno. BOOLE ha sido presentado en cuatro congresos nacionales e internacionales, y ha recibido el Premio al Mejor Equipo Software en el IV Congreso de Tecnologas Aplicadas a la Enseanza de la Electrnica, TAEE 2000, celebrado en Barcelona en septiembre del ao 2000. El entorno ha sido probado insistentemente por sus autores, sus alumnos (700 alumnos al ao de ingeniera informtica e industrial), otros profesores (Alfonso Barba y Jos Antonio Aranguren) y por otros colaboradores externos (gracias especialmente a Mariano Barrn y Javier Martnez), pero esto no es bice para que no aparezcan nuevos errores y comentarios. Agradeceramos que todos aquellos que encuentren un error o tengan cualquier comentario al entorno (positivo o negativo) los enviaran a la direccin: [email protected], lo que nos permitir mejorar BOOLE. Pensamos que una vez creado el entorno es responsabilidad de todos los que usemos BOOLE el mantenerlo en condiciones, para que todo este esfuerzo no haya sido baldo.Bibliografa: http://www.conocimientosweb.net/portal/directorio http://www.zabalnet.com/intro/cursos/03_algebra.htm http://www.inf.ufsc.br/ine5365/algboole.html http://www.ncc.up.pt/~zp/aulas/9899/me/trabalhos/ alunos/circuitos_logicos/algboole.html http://buscador.hispavista.es/logica--algebra-de-boole