11
UNIVERSIDAD TECNOLOGICA DE SAN LUIS POTOSI PRACTICA No. 1 TEMA: CODIFICADOR BCD A DISPLAY DE 7 SEGMENTOS INTEGRANTES: 11. JACOBO ARROYO GABRIEL HERNÁN PROFESOR: GABINO JARA TRUJILLO SAN LUIS POTOSI S.L.P. A 07 DE JUNIO DEL 2010

Codificador Bcd a Display de 7 Segmentos

Embed Size (px)

Citation preview

UNIVERSIDAD TECNOLOGICA DE SAN LUIS POTOSI

PRACTICA No. 1

TEMA: CODIFICADOR BCD A DISPLAY DE 7 SEGMENTOS

INTEGRANTES: 11. JACOBO ARROYO GABRIEL HERNN

PROFESOR: GABINO JARA TRUJILLO

SAN LUIS POTOSI S.L.P.

A 07 DE JUNIO DEL 2010

OBJETIVO: Realizar un teclado numrico mediante un display de 7 segmentos para comprender su funcin a travs de compuertas, codificadores y decodifidores. As como el funcionamiento de estos ltimos dos componentes. INTRODUCCIN: Codificador: Un codificador es un circuito combinacional con 2N entradas y N salidas, cuya misin es presentar en la salida el cdigo binario correspondiente a la entrada activada. Existen dos tipos fundamentales de codificadores: codificadores sin prioridad y codificadores con prioridad. En el caso de codificadores sin prioridad, puede darse el caso de salidas cuya entrada no pueda ser conocida: por ejemplo, la salida 0 podra indicar que no hay ninguna entrada activada o que se ha activado la entrada nmero 0. Adems, ciertas entradas pueden hacer que en la salida se presente la suma lgica de dichas entradas, ocasionando mayor confusin. Por ello, este tipo de codificadores es usado nicamente cuando el rango de datos de entrada est correctamente acotado y su funcionamiento garantizado.

Para evitar los problemas anteriormente comentados, se disean los codificadores con prioridad. En estos sistemas, cuando existe ms de una seal activa, la salida codifica la de mayor prioridad (generalmente correspondiente al valor decimal ms alto). Adicionalmente, se codifican dos salidas ms: una indica que ninguna entrada est activa, y la otra que alguna entrada est activa. Esta medida permite discernir entre los supuestos de que el circuito estuviera deshabilitado por la no activacin de la seal de capacitacin, que el circuito no tuviera ninguna entrada activa, o que la entrada nmero 0 estuviera activada. Tambin entendemos como codificador (cdec), un esquema que regula una serie de transformaciones sobre una seal o informacin. Estos pueden transformar un seal a una forma codificada usada para la transmisin o cifrado o bien obtener la

seal adecuada para la visualizacin o edicin (no necesariamente la forma original) a partir de la forma codificada. En este caso, los codificadores son utilizados en archivos multimedia para comprimir audio, imagen o vdeo, ya que la forma original de este tipo de archivos es demasiado grande para ser procesada y transmitida por los sistema de comunicacin disponibles actualmente. Se utilizan tambin en la compresin de datos para obtener un tamao de archivo menor. Segn esta nueva definicin, podemos dividir los codificadores en cdecs sin prdidas y cdecs con prdidas, segn si la informacin que se recupera coincide exactamente con la original o es una aproximacin. DECODIFICADORES/MANEJADORES DE BCD A SIETE SEGMENTOS Muchas presentaciones numricas en dispositivos de visualizacin utilizan una configuracin de siete segmentos (Fig. 6-1 (a)) para formar los caracteres decimales de0 a 9 y algunas veces los caracteres hexadecimales de A a F. Cada segmento esta hecho de un material que emite luz cuando se pasa corriente a travs de l. Los materiales que se utilizan ms comnmente incluyen diodos emisores de luz (LED, por sus siglas en ingls) y filamentos incandescentes. La Fig. 6-1(b) muestra los patrones de segmento que sirven para presentar los diversos dgitos. Por ejemplo, para el6 los segmentos c, d, e, f y g se encienden, en tanto que los segmentos a y b se apagan.

Se utiliza un decodificador/manejador de BCD a siete segmentos para tomar una entrada BCD de cuatro bits y dar salidas que pasarn corriente a travs de los segmentos indicados para presentar el dgito decimal. La lgica de este decodificador es ms complicada que las que se analizaron anteriormente, debido

a que cada salida es activada para ms de una combinacin de entradas. Por ejemplo, el segmento e debe ser activado para cualquiera de los dgitos0,2,6 y8, lo cual significa cuando cualquiera de los cdigos0000,0010,0110 o bien1000 ocurra.

P-6-2 La Fig. 6-2(a) muestra un decodificador/manejador de BCD a siete segmentos (TTL 7446 o 7447) que se utiliza para manejar una presentacin LED de siete segmentos. Cada segmento consta de uno o dos LED. Los nodos de los LED estn todos unidos a Vcc (+5 V). Los ctodos de los LED estn conectados a travs de resistencias limitadoras de corriente a las salidas adecuadas del decodificador/manejador. Este tiene salidas activas en BAJO que son transistores manejadores de colector abierto que pueden disipar una corriente bastante grande. Esto se debe a que las presentaciones LED pueden requerir10mA a40mA por segundo, segn su tipo y tamao. Para ilustrar la operacin de este circuito, suponga que la entrada BCD es A=0,B=1,C=0, D=1, que es BCD de 5. Con estas entradas las salidas del decodificador/manejador y sern llevadas al estado BAJO (conectadas a tierra), permitiendo que fluya corriente a travs de los segmentos LED a,f,g,c yd, presentando con esto el numeral 5. Los decodificadores/manejadores7446 y7447 estn diseados para activar segmentos especficos aun de cdigos de entrada mayores de1001 (9). La Fig. 6-2(b) muestra cules segmentos son activados para cada uno de los cdigos de entrada de0000 a1111 (15). Note un cdigo de entrada de1111 borrar todos lodos los segmentos. La presentacin visual LED que se utiliza en la Fig. 6-2 es un tipo de nodo comn donde los ctodos de cada segmento se interconectan y se conectan a tierra. Este tipo de presentacin visual tiene que ser manejada por un decodificador/manejador de datos BCD a siete segmentos con salidas activas en ALTO que apliquen un voltaje alto a los nodos de aquellos segmentos que vayan a ser activados.

Circuito topolgico del decodificador

CODIFICADORES Un codificador acepta un cdigo de entrada de N bits y procede a un estado ALTO (o BAJO) en una y slo una lnea de salida. En otras palabras, podemos decir que un decodificador identifica, reconoce o bien detecta un cdigo especfico. Lo opuesto a este proceso de decodificacin se denomina codificacin y es realizado por un circuito lgico que se conoce como codificador. Un codificador tiene varias lneas de entrada slo una de las cuales se activa en un momento dado, y produce un cdigo de salida de N bits, segn la entrada que se active.

Ya se mencion que un decodificador de binario a octal (o decodificador de 3 a 8 lneas) acepta como entrada un cdigo de tres bits y activa una de las ocho lneas que corresponda al cdigo. Un codificador de octal a binario (o codificador de 8 a 3 lneas) lleva a cabo la funcin opuesta; acepta ocho lneas de entrada y produce un cdigo de salida de tres bits que corresponde a la entrada activa. La Fig. 6-3 muestra la lgica del circuito y la tabla de verdad para un codificador de octal a binario con entradas activas en BAJO. CODIFICADORES DE PRIORIDAD El ltimo ejemplo seala un problema con el circuito codificador sencillo de la Fig. 6-3 cuando se activa ms de una entrada al mismo tiempo. Existe otra versin de este circuito, denominada codificador de prioridad, que incluye la lgica necesaria para asegurar que cuando dos o ms entradas sean activadas al mismo tiempo, el cdigo de salida corresponda al de la entrada que tiene asociado el mayor de los nmeros. Por ejemplo, cuando se encuentran en BAJO, el cdigo de salida es101 (5). De manera similar, cuando, estn todas en estado BAJO, el cdigo de salida es110 (6). Los circuitos integrados 74148, 74LS148Y 74HC148 son todos codificadores de prioridad de octal a binario. CODIFICADOR DE PRIORIDAD DE DECIMAL ABCD 74147. La Fig. 6-4 Muestra el smbolo lgico y la tabla de verdad para el74147 (74LS147 y74HC147), el cual funciona como un codificador de prioridad de decimal aBCD..

El circuito tiene nueve lneas activas en BAJO que representan los dgitos desde 1 hasta 9, y produce como salida el cdigo BCD negado, correspondiente a la entrada activa que tiene el mayor nmero. A continuacin se examina la tabla de verdad para averiguar cmo funciona este circuito. La primera lnea de la tabla muestra todas las entradas en sus estados inactivos, ALTO. Para esta condicin la salida es1111, que es el negado del cdigo0000 que en BCD corresponde a cero. El segundo rengln de la tabla seala que un estado BAJO, sin importar el estado de las dems entradas, produce como salida el cdigo 1001 mismo que, de nuevo, corresponde al cdigo BCD para 9. El tercer rengln muestra que un BAJO ,siempre y cuando se encuentre en ALTO, produce como cdigo de salida 0111, que es el negado de 1000, el cdigo BCD para 8. De manera similar los dems renglones de la tabla sealan que un estado BAJO en cualquier entrada; siempre y cuando las entradas tengan una numeracin mayor se encuentren en ALTO, produce como salida el cdigo BCD negado para dicha entrada. Las salidas del 74147 normalmente se encuentran en el estado ALTO cuando ninguna de las entradas esta activa. Esto corresponde a la condicin de entrada 0 decimal. No existe entrada porque el codificador supone que la entrada es 0 cuando todas las dems entradas estn en el estado ALTO. Las salidas negadas del 74147 pueden convertirse a BCD normal conectando cada una de ellas a un INVERSOR.

DESARROLLO: a) Arme el circuito de la figura 1. Los terminales B1/RBO, RB1, LT1, deje libres.

b) Accione los pulsadores y observe el valor correspondiente a las salidas del codificador (Q0, Q1, Q2, Q3) y en el display. Anote el resultado en la tabla. c) Accione simultneamente los pulsadores 3 y 5. Qu nmero indica el display? El nmero indicado en el display es el numero 5. d) Repite para el 3 y el 4. Qu nmero indica el display? El nmero indicado en el display es el nmero 4. e) Repite para el 1 y 9. Qu nmero indica el display? El nmero indicado en el display es el nmero 9. RESULTADOS Y ANLISIS: A) TABLA 1. CODIFICADOR DECIMAL A BINARIO Q3 Q2 Q1 Q1 NMERO INDICADO 1 1 1 1 0 1 1 1 1 1 1 1 0 0 1 1 1 0 0 0 0 1 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 2 3 4 5 6 7 8 9

PULSADOR Sin accionar 1 2 3 4 5 6 7 8 9

B) CUL NMERO INDICA EL DISPLAY AL ACCIONAR SIMULTNEAMENTE DOS PULSADORES: A) MENOR, B)MAYOR. PORQU? El nmero indicado es el mayor, y esto debido a la accin que esta efectuado la compuerta not y tambin debido a la accin del codificador al estar tomando el valor ms alto para mostrarlo en el display. CUESTIONARIO: A) Que arreglos se debe realizar a la figura 1 si se desea manejar un display ctodo comn con el codificador de 74147. (dibujar el circuito)

Adems de mandar el display hacia tierra, las resistencias de entrada al codificador en lugar de ir hacia la alimentacin ir hacia la tierra o el polo negativo de nuestra fuente de alimentacin. Tambin hay que cambiar el circuito 74LS47 por un 74LS48. B) Para que se utilizan los inversores en el circuito de la figura 1. Para invertir las salidas del cdigo binario y poder mostrar en el display el numero que se le asigno al codificador al momento de pulsar los botones.

CONCLUSION: 1. La utilizacin de los 4 diodos led a las salidas Q0, Q1, Q2 Y Q3 solamente fueron para ver el correcto funcionamiento del codificador, adems de que por medio de estos diodos leds poder identificar claramente el cdigo binario que corresponde a cada uno de los interruptores asociados al codificador. 2. El cdigo binario obtenido en la tabla, resulto ser el opuesto al que originalmente nos debi de haber dado, puesto que se conto con unos inversores (LS7404) quienes su funcin es la de negar o contrariar las salidas obtenidas por el codificador.