18
Introducción Una Puerta combinacional o mejor dicho sistema combinacional es todo sistema digital en que sus salidas son función exclusiva del valor de sus entradas en un momento dato, sin que intervengan en ningún caso estados anteriores de las entradas o de la salidas. En esta práctica se implementaran un sistema con la compuerta llamada “Multiplexor” para elaborar un circuito menos complicado en su montaje, recordando que la definición básica de un multiplexor es la combinación de varios circuitos mediante sus entradas para tener solo una salida dependiendo de la selección de sus entradas, y para este caso, tendremos 3 entradas para implementar dicho circuito que se nos presentara en el enunciado que veremos a continuación. Para las simulaciones y montaje del circuito que se va a implementar, utilizaremos los programas de simulación llamado “Constructor Virtual y Simulador de circuitos, Logic Works y para el montaje en la basys, usaremos el Active – Hdl”, teniendo en cuenta que se hará un montaje en físico en un Tablero llamado Protoboard.

Informe 4 - Logica Digital

Embed Size (px)

DESCRIPTION

Informe 4 - Logica Digital.

Citation preview

Introducción

Una Puerta combinacional o mejor dicho sistema combinacional es todo sistema digital en que sus salidas son función exclusiva del valor de sus entradas en un momento dato, sin que intervengan en ningún caso estados anteriores de las entradas o de la salidas.

En esta práctica se implementaran un sistema con la compuerta llamada “Multiplexor” para elaborar un circuito menos complicado en su montaje, recordando que la definición básica de un multiplexor es la combinación de varios circuitos mediante sus entradas para tener solo una salida dependiendo de la selección de sus entradas, y para este caso, tendremos 3 entradas para implementar dicho circuito que se nos presentara en el enunciado que veremos a continuación.

Para las simulaciones y montaje del circuito que se va a implementar, utilizaremos los programas de simulación llamado “Constructor Virtual y Simulador de circuitos, Logic Works y para el montaje en la basys, usaremos el Active – Hdl”, teniendo en cuenta que se hará un montaje en físico en un Tablero llamado Protoboard.

Enunciado

Simular e Imprimir el plano lógico de un circuito utilizando multiplexores que genere el control de una prensa mediante tres conmutadores, de modo que el proceso industrial se detendrá por razones de seguridad exclusivamente cuando se pulsen simultáneamente dos de ellos, y si no se actúa sobre ninguno, en cualquier otra circunstancia el proceso industrial continúa funcionando.

Metodología de Trabajo

Para entender un poco los pasos que hay que seguir para observar los comportamientos del circuito a diseñar, se tiene que especificar algunas cosas sobre el enunciado.

Nosotros tomaremos 3 entradas para elaborar nuestro circuito, las cuales están identificadas como:

A = Conmutador 1

B = Conmutador 2

C = Conmutador 3

Tenemos también una salida llamada “F”, teniendo en cuenta que F seria si se enciende el Led es la alerta de que el proceso industrial se detiene por cualquier combinación de la entrada que se haya programado.

Para la elaboración de la implementación de este circuito, haremos de utilidad a la famosa “Tabla de la verdad” para ver la situaciones en que queremos que la salida “F” encienda la alarma de que se detuvo el proceso industrial con las combinaciones de las entradas “A, B, C”, teniendo en cuenta 3 situaciones claves.

- El proceso se detendrá si se Tiene dos Conmutadores presionados.- El proceso se detendrá si se tiene los 3 Conmutador presionados (Ya

que con tres conmutadores presionados se pasa al primer caso de que hay “Dos presionados también”).

- El proceso también se detendrá si los conmutadores no están presionados (Ninguno de ellos).

Pasamos ahora a observar la tabla de la verdad para ver los casos que ya nombramos.

A B C F0 0 0 0 11 0 0 1 02 0 1 0 03 0 1 1 14 1 0 0 0

5 1 0 1 16 1 1 0 17 1 1 1 1“Se puede observar cuales son las dichas situaciones importantes o de interés en la

tabla”

Con esta tabla se puede pasar a proceder a la elaboración del Mapa de Karnaugh, lo cual lo podemos implementar con el siguiente programa llamado Boole.

Circuito Simplificado Con SOP

F = (~A*~B*~C)+(B*C)+(A*C)+(A*B)

Circuito Simplificado Con POS

F = (~A+B+C)*(A+~B+C)*(A+B+~C)

Para la Implementación del circuito, nosotros no usaremos no usaremos ninguno de los dos circuitos simplificado ya mostrado, ya que lo implementaremos con un Multiplexor para simplificar su montaje y ya que en el enunciado nos lo pide.

Diseño del Circuito para su Montaje:

El diseño que podemos usar para el montaje del problema puede ser con cualquier multiplexor, de 8-1 o de 4-1, en este caso usaremos un 74153 que será un multiplexor de 4-1 teniendo en cuenta que una variable la usaremos como “Variable Interna” ya que tenemos 3 entrada y el Multiplexor que usaremos será de 2. Para este caso pasamos a usar una tabla de karnaugh para ver como actuara la variable Interna.

Tabla de La verdad Con la variable Interna

AB I0 I1 I2 I3

C00 01 10 11

0 1 0 0 11 0 1 1 1

C* C C 1“Tabla de la verdad para el Multiplexor”

En el multiplexor de 4-1 (74153) se tiene 2 variable de control (A,B) que seria los conmutadores 1 y 2, y 4 entradas de selección que sería “I0, I1, I2, I3” lo cual con la tabla anterior ya pudimos colocar la “Variable Interna” que en este caso seria C que es el 3er conmutador, con esto ya podemos ver como seria la conexión del circuito con su multiplexor.

El montaje seria:

“Montaje simulado en LogicWork”

Para poner a prueba el funcionamiento de este circuito, se hizo primero pruebas con simuladores o mejor dicho software, para verificar nuestro montaje, en este caso se uso el Logic Work y también el Constructor Virtual de Circuitos.

Simulación en el Constructor Virtual de Circuitos

Montaje del Circuito

Componentes que se coloco en el tablero virtual del Simulador:

Compuerta 74LS04 (Not)

Compuerta 74Ls153 (Multiplexor 4:1 x2)

Interruptores

Leds

Fuente DC 5V

Montaje en la Tarjeta Basys 2

Al terminar la parte práctica del montaje circuital del problema ya nombrado anteriormente y ser verificado por el profesor cada procedimientos y resultado que ha elaborado, se paso hacer el montaje de forma teórica “Informática” en la tarjeta Basys 2, gracias a la ayuda del programa Active-HDL.

El montaje que se puede observar en la siguiente imagen, es el aproximado a lo cual ya hemos venido haciendo.

Montaje en el Active-Hdl

Hay que recalcar que el multiplexor no se elaboro con su código si no de manera circuital para facilitar un poco como seria la “Caja”, la siguiente imagen mostrara como es el circuito por dentro.

“Multiplexor elaborado con componentes circuitales y no con código”

Codigo de Verificacion del HDL

---------------------------------------------------------------------------------------------------------------------------- Title : Mux_Top_EA-- Design : Multiplexer_Efstatios_Alvaro-- Author : Unknown-- Company : Unknown------------------------------------------------------------------------------------- File : c:\My_Designs\Multiplexer_Efstatios_Alvaro\Multiplexer_Efstatios_Alvaro\compile\Mux_Top_EA.vhd-- Generated : Wed Feb 11 16:23:20 2015-- From : c:\My_Designs\Multiplexer_Efstatios_Alvaro\Multiplexer_Efstatios_Alvaro\src\Mux_Top_EA.bde-- By : Bde2Vhdl ver. 2.6------------------------------------------------------------------------------------- Description : ----------------------------------------------------------------------------------- Design unit header --library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_signed.all;use IEEE.std_logic_unsigned.all;

entity Mux_Top_EA is port( sw : in STD_LOGIC_VECTOR(2 downto 0);

ld : out STD_LOGIC_VECTOR(0 downto 0) );end Mux_Top_EA;

architecture Mux_Top_EA of Mux_Top_EA is

---- Component declarations -----

component Multiplexer_Efstatios_Alvaro_M port ( A : in STD_LOGIC; B : in STD_LOGIC; I0 : in STD_LOGIC; I1 : in STD_LOGIC; I2 : in STD_LOGIC; I3 : in STD_LOGIC; F : out STD_LOGIC );end component;

---- Constants -----constant VCC_CONSTANT : STD_LOGIC := '1';

---- Signal declarations used on the diagram ----

signal NET69 : STD_LOGIC;signal VCC : STD_LOGIC;

begin

---- Component instantiations ----

U1 : Multiplexer_Efstatios_Alvaro_M port map( A => sw(0), B => sw(1), F => ld(0), I0 => NET69, I1 => sw(2), I2 => sw(2), I3 => VCC );

NET69 <= not(sw(2));

---- Power , ground assignment ----

VCC <= VCC_CONSTANT;

end Mux_Top_EA;

Resultados

En esta parte analizaremos y mostraremos paso a paso los resultados obtenidos del comportamiento de los circuitos simulados.

Como ya se venía hablando del programa Constructor Virtual De Circuitos en la parte anterior de dicho informe, analicemos un poco la Imagen “Montaje del circuito en Constructor Virtual De Circuitos” o para más comodidad en la parte de anexos.

Se tiene que encender el generador de Voltaje DC de 5v para empezar a comprobar los resultados de la tabla de la verdad, los resultados que nos interesan son los que están sombreado de dicha tabla.

Identificación de las variables de Entradas y Salidas:

Recordando el significado de cada variable, que se puede encontrar en la parte de “Metodología del trabajo”.

Con el interruptor en la Combinación A = 0, B = 0, C = 0 tendremos una respuesta F = 1

Con el interruptor en la Combinación A = 1, B = 1, C = 0 tendremos una respuesta F = 1

Con el interruptor en la Combinación A = 1, B = 1, C = 1 tendremos una respuesta F = 1

La 2 combinación se repite 3 veces, ya que son 5 combinaciones posibles para que encienda la alarma que indique que el proceso industrial se ha detenido, cualquier otra combinación, el proceso industrial continúa con su trabajo.

Anexos

Combinación 1-1-1

A = 2, B = 1, C = 0

Combinación 0-1-1

A = 2, B = 1, C = 0

Combinación 0-0-1

A = 2, B = 1, C = 0