20
INDICE 1) Base teórica 2) Ejercicio – aplicación - Diagramas - Tablas de verdad - Tablas caracteristicas - Simulacion 3)Conclusiones

informeDigitales ll

Embed Size (px)

DESCRIPTION

informe final sobre VHDL

Citation preview

INDICE

1) Base terica 2) Ejercicio aplicacin Diagramas Tablas de verdad Tablas caracteristicas Simulacion3) Conclusiones

INTRODUCCION

Este proyecto se bas en el diseo y elaboracin de una alarma de seguridad que ayude a las personas en su vida ya que la inseguridad que avanza en estos das hace que las personas se preocupen por sus bienes y por consecuente se necesita una alarma que ayude a las personas a asegurar sus bienes. Los objetivos principales de este proyecto son el demostrar que por el mtodo de programacin podemos crear una alarma que demuestre lo aprendido hasta el momento las diferentes herramientas como desde lo ms bsico que son las compuertas lgicas and , or , nand , xor , adems de ello se usara la lgica que sigue en la mquina de more que nos ayuda a comprender como de una estado pasa a otro dependiendo de las entradas y de las condiciones que debe de seguir ya que para que pase de un estado a otro se debe de seguir las condiciones propuestas anterior mente. Y como objetivos especficos es comprender el completo funcionamiento de una alarma ya que las personas no saben de la lgica que sigue una alarma al momento de ser activada ya que esto conlleva internamente diferentes componentes que al ser unidos bajo cierta lgica terminan por ser un dispositivo que hoy en da es vital para resguardar los bienes de las personas desde alarmas para carros hasta alarmas para casas, etc.La alarma que se piensa simular en este trabajo puede ser modificado en su programacin y podemos obtener utilizarlo para una diferente aplicacin como puede ser un semforo ya que al utilizar la lgica de more de las maquinas finitas y as podemos modificar nuestra programacin que puede ser utilizada no solo para una sola cosa ya que gracias a que es un programa con el simple hecho de modificar la estructura un poco podemos llegar a tener diferentes aplicaciones y que al ser implementado en un FPGA tambin podemos reprogramar este y as poder darle el uso deseado.1. BASE TEOERICA.-

Las alarmas a cada da que pasa se van incrementando su uso debido a la necesidad de una mayor seguridad. Hasta hace unos aos solo se instalaban sistemas de seguridad en lugares concretos, parta preservar de los robos, atracos o incendios. Hoy en da se utilizan en hogares, pequeos negocios, fbricas, adems de lugares de alto riesgo, como bancos y joyeras ya que estos tienen un gran valor para las personas.

Los sistemas de seguridad no deben de proporcionar falsas alarmas, ya que en la prctica es tan poco eficaz como aquel que puede vulnerarse fcilmente.

Un sistema no debe de ser propenso a dar falsas alarmas, adems de no ser seguro, tiende a ser ignorado por el hecho que se piensa es una falsa alarma.

La legislacin en este terreno, obliga a determinados establecimientos como bancos, cajas de ahorro y entidades de crdito en general, armeras y joyeras a disponer en sus instalaciones, de sistemas, y estos sistemas deben ser instaladas por empresas homologadas para una mayor seguridad ya que estos dan una mayor confianza.

A su vez una buena instalacin de seguridad en una casa u oficina hace que sea ms fiable es por ello que se le debe de contratar empresas especializadas en este campo, para que sea correcto su funcionamiento, debe estar conectada mediante un transmisor telefnico a una central receptora de alarmas con vigilancia las 24 horas.

sta es la nica forma de ofrecer seguridad continua a la fbrica, almacn, oficina o viviendas familiares de que se trate.

1) SISTEMA SECUENCIALA diferencia de los sistemas combinacionales, en los sistemas secuenciales, los valores de las salidas, en un momento dado, no dependen exclusivamente de los valores de las entradas en dicho momento, sino tambin dependen del estado anterior o estado interno. El sistema secuencial ms simple es el biestable, de los cuales, el de tipo D (o cerrojo) es el ms utilizado actualmente.La mayora de los sistemas secuenciales estn gobernados por seales de reloj. A stos se los denomina "sncronos" o "sincrnicos", a diferencia de los "asncronos" o "asincrnicos" que son aquellos que no son controlados por seales de reloj.A continuacin se indican los principales sistemas secuenciales que pueden encontrarse en forma de circuito integrado o como estructuras en sistemas programados: Contador Registros

En todo sistema secuencial nos encontraremos con:a) Un conjunto finito, n, de variables de entrada (X1, X2,..., Xn).b) Un conjunto finito, m, de estados internos, de aqu que los estados secuenciales tambin sean denominados autmatas finitos. Estos estados proporcionarn m variables internas (Y1,Y2,..., Ym).c) Un conjunto finito, p, de funciones de salida (Z1, Z2,..., Zp).Dependiendo de como se obtengan las funciones de salida, Z, los sistemas secuenciales pueden tener dos tipos de estructura .

2) MAQUINA DE Moore

En un automata de estados finitos donde la salida esta determinada unicamente por el estado actual. La maquina de moore es mas versatil y simple de usar ya que solo depende de las entradas para darnos un salida. La cantidad de estados que puede tener una maquina de moore es justamente mayor o igual a la cantidad de posibles estados que pueda tener una maquina de Mealy , y esto hace que se simplifique su entendimiento y por consecuente la programacion que aqui se hace es mas sencila

3) FUNCIONAMIENTO FLIP FLOP

FLIP-FLOP DEl flip-flop D (DATA) recibe este nombre gracias a que resulta muy til cuando se necesita almacenar un nico bit de datos (1 o 0). Si se aade un inversor a un flip-flop S-R obtenemos un flip-flop D bsico. El funcionamiento de un dispositivo activado por el flanco negativo es, por supuesto, idntico, excepto que el disparo tiene lugar en el flanco de bajada del impulso del reloj. Recuerde que Q sigue a D en cada flanco del impulso de reloj.Para ello, el dispositivo de almacenamiento temporal es de dos estados (alto y bajo), cuya salida adquiere el valor de la entrada D cuando se activa la entrada de sincronismo, C. En funcin del modo de activacin de dicha entrada de sincronismo, existen dos tipos: Activo por nivel (alto o bajo), tambin denominado registro o cerrojo (latchen ingls). Activo por flanco (de subida o de bajada).

Laecuacin caracterstica del Biestable tipo D que describe su comportamiento es:

Y su tabla de verdad es:

Diagrama temporal del flip flop :

TTL 74ls74

FLIP FLOP D retencion de datos en paralelo :

Al tener las 4 entradas en paralelo podemos ingresar los datos y cuando mi pulso de reloj este en bajada habra un traspaso de datos y asu vez almacenamiento .

VHDL Es un que esta definido por la IEEE (Institute of Electrical and Electronics Engineers ) es usado para modelar el funcionamiento de diferentes componentes en Formas de describir un circuito Funcional: Describimos la forma en que se comporta el circuito. Esta es la forma que ms se parece a loslenguajes de softwareya que la descripcin es secuencial. Estas sentencias secuenciales se encuentran dentro de los llamados procesos en VHDL. Los procesos son ejecutados en paralelo entre s, y en paralelo con asignaciones concurrentes de seales y con las instancias a otros componentes. Flujo de datos: describe asignaciones concurrentes (en paralelo) de seales. Estructural: se describe el circuito con instancias de componentes. Estas instancias forman un diseo de jerarqua superior, al conectar los puertos de estas instancias con las seales internas del circuito, o con puertos del circuito de jerarqua superior. Mixta: combinacin de todas o algunas de las anteriores.

EnVHDLtambin existen formas metdicas para el diseo de maquinas estados, filtros digitales, etc.

Estructura bsica de la programacin en XILINX

4) FPGA (field Programmable Gate Array)

Son dispositivos semiconductores que contienen bloques de lgica cuya interconexin y funcionalidad puede ser configurada como entrada o como salida.Esta nueva herramienta es altamente utilizada por los electrnicos en la actualidad ya que por su versatilidad podemos obtener cosas tan simples como una suma o una multiplicacin hasta cosas tan complicadas como el sistema de un chip.Las FPGAs se utilizan en aplicaciones similares a losASICs sin embargo son ms lentas, tienen un mayor consumo depotenciay no pueden abarcar sistemas tan complejos como ellos. A pesar de esto, las FPGAs tienen las ventajas de ser reprogramables (lo que aade una enorme flexibilidad al flujo de diseo), sus costes de desarrollo y adquisicin son mucho menores para pequeas cantidades de dispositivos y el tiempo de desarrollo es tambin menor.

0

FPGA Xilinx spartan

Los FPGAs vienen siendo una evolucion de los CPLDs Tanto los CPLDs como las FPGAs contienen un gran nmero de elementos lgicos programables. Si medimos la densidad de los elementos lgicos programables en puertas lgicas equivalentes (nmero de puertas NAND equivalentes que podramos programar en un dispositivo) podramos decir que en un CPLD hallaramos del orden de decenas de miles de puertas lgicas equivalentes y en una FPGA del orden de cientos de miles hasta millones de ellas.

Arquitectura interna de una FPGA Pero no solo se diferencian en su densidad a la hora de ser programadas sino que tambien y la moyor diferencia que tiene es el hecho de que la arquitectura que se usa para programar los CPLDs es mucho mas rigida y consiste en una o mas sumas de productos notables cuyos reusltaods van a parar en un numero reducido de biestables sincronos ( llamados tambein Flip Flop ) . Ahora por otro lado la arquitectura usada por los FPGAs es basada en un gran numero de pequeas bloques utilizados para reproducir sencillas operacioness logicas , que cuentan a su vez con biestables sincronos ,gracias a ellos brinda una mayor flexibilidad a la hora de programas .

2. EJERCICIO APLICACION

TABLA DE ESTADO DEL MOTORESTADOSSALIDAQASALIDA QB

E000

E101

E210

NO EXISTE11

Tabla 1. Tabla de sentido de giro del motor

Diagrama de estadoestados posibles de operacion del motor

TABLA DE OPERACION tabla de operacion del problemaEstado presenteFF-BQBFF-AQAECUACIONES DE TRANSICION ESTADO SIGUIENTEFF-BQB+1FF-AQA+1

E000S0.S1.S2E101

E010S0.S1.S2E210

E101S2E000

E210S0E000

E210S1E101

QB+1 = QB.QA.S0.S1.S2ECUACIONES DE EXCITACION

QA+1 = QB.QA.S0.S1.S2+QB.QA.S1QA+1 = QA.S1.(QB+(S0.S2))

Hacemos util el principio de funcionamiento de la ecuacion caracteristica del flip flop tipo D : En el cual dice que el estado siguiente = a la exitacion que entra en D y obtenemos las siguientes ecuaciones de EXCITACION

DB+1 = QB.QA.S0.S1.S2

DA+1 = QA.S1.(QB+(S0.S2))

SIMULACION EN MULTISIM

Fig. 2 Simulacion de aparente solucion al problema

Fig. 3 Simulacion completa con logica combinacional para los clock deseados

Fig. 4 Simulacion cuando nuestro sensor detecta una persona y empieza abrir la puerta

Fig. 5 Simulacion cuando nuestro sensor detecta que no hay nadie y empieza a cerrar nuetra puerta3. CONLUSIONESBibliografia http://es.wikipedia.org/wiki/VHDLhttp://www.unicrom.com/dig_FF_D_disparo_tabla_verdad_diagrama_temporal.asphttp://www.electronics-tutorials.ws/sequential/seq_4.html