7
ESCUELA DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA PROGRAMA ACADÉMICO DE INGENIERÍA ELECTRÓNICA CURSO: SISTEMAS DIGITALES I PRÁCTICA # 4: SIMULACIÓN USANDO MODELSIM-ALTERA Modelsim-Altera es una aplicación para simular circuitos digitales. Una versión para principiantes de este software puede ser descargada de forma gratuita en https://www.altera.com . Donde se encuentra una versión gratuita estudiantil llamada Modelsim-Altera Starter Edition. Luego de instalar la aplicación, Quartus debe ser configurado. Para esto, ir al menú Tools y luego Options... En la pestaña EDA Tool Options, seleccionar la ubicación de ModelSim-Altera de acuerdo a su directorio de instalación, como se muestra en la Figura. Este paso es solo para versiones antiguas de Quartus ej Quartus II versión 9, o 10. Para nuevas versiones este paso es automatico.

Modelsim P1

Embed Size (px)

Citation preview

Page 1: Modelsim P1

ESCUELA DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA

PROGRAMA ACADÉMICO DE INGENIERÍA ELECTRÓNICA CURSO: SISTEMAS DIGITALES I

PRÁCTICA # 4:

SIMULACIÓN USANDO MODELSIM-ALTERA

Modelsim-Altera es una aplicación para simular circuitos digitales. Una versión para principiantes de este software puede ser descargada de forma gratuita en

https://www.altera.com. Donde se encuentra una versión gratuita estudiantil llamada Modelsim-Altera Starter Edition.

Luego de instalar la aplicación, Quartus debe ser configurado. Para esto, ir al menú Tools y luego Options... En la pestaña EDA Tool Options, seleccionar la ubicación de ModelSim-Altera de acuerdo a su directorio de instalación, como se muestra en la Figura. Este paso es solo para versiones antiguas de Quartus ej Quartus II versión 9, o 10. Para nuevas versiones este paso es automatico.

Page 2: Modelsim P1

Configuración de ModelSim-Altera.

Una vez creado el proyecto y compilado, haciendo clic en Processing, Start Compilation o

haciendo clic en el botón en la barra de herramientas. Si no hay errores, ir al menú Tools,

Run Simulation Tool, Gate Level Simulation… o hacer clic en el botón para abrir la aplicación ModelSim-Altera. Seleccionar la opción “Slow Model” y luego Run.

En la ventana principal de la aplicación de Modelsim-Altera, explorar la librería work y dar doble clic en la entidad con el mismo nombre del proyecto para cargar el circuito, como se muestra en la Figura

Page 3: Modelsim P1

Habilitación del circuito en ModelSim.

De nuevo buscar en la pestaña Library, la librería work y dar clic derecho en el nombre del proyecto y seleccionar Create Wave para cargar las señales de entrada y salida, como se muestra en la Figura.

Page 4: Modelsim P1

Creación de las formas de onda de entrada y salida.

En la ventana Wave, se pueden borrar todas las salidas del circuito y, para visualizar el resultado de la simulación, se deben arrastrar las salidas de la ventana Objects (en este caso, Sal) a la ventana Wave, como se muestra en la Figura¡Error! No se encuentra el origen de la referencia..

Adición de señales de salida en la ventana de ondas.

Para establecer los valores de las señales, se puede dar clic en cada una de ellas, luego Edit, Create/Modify Waveform, como se muestra en la Figura. Dependiendo del tipo de señal, se debe seleccionar el patrón, tiempo de inicio y finalización, así como el valor o los valores que tomará la señal.

Page 5: Modelsim P1

Edición de las señales de entrada.

En la nueva ventana seleccionar el tipo de onda, en este caso constant y también fijar tiempo de finalización de la onda en este caso 10000 ps, click en Next

EN la nueva ventana damos el valor de la señal durante los 10000 ps, en este caso 0 , esto posteriormente se puede cambiar. Dar click en Finish.

Estos pasos se deben realizar para todas las señales de entrada del circuito a simular. Modificar Señales Adicionalmente, las señales se pueden modificar con el ratón haciendo click en el menú Wave,

Mouse Mode, Edit Mode o presionando el botón .

Page 6: Modelsim P1

Edición de las señales de entrada con el ratón.

De esta forma, es posible seleccionar porciones de la señal y modificar este intervalo haciendo clic derecho en él y luego Wave Editor, como se muestra en la Figura. Así, es posible invertir la señal en ese intervalo de tiempo o establecer un valor arbitrario.

Modificar una señal.

Finalmente, dar clic en el menú Simulate, Run, Run –All o en el botón .

El resultado se debe visualizar como se muestra en la Figura.

Page 7: Modelsim P1

Resultado de simulación.

Para realizar simulaciones con otros valores, es recomendable hacer clic en el menú Simulate,

Run, Restart… o en el botón para evitar conflictos con valores de simulaciones anteriores. Nota Se debe tener en cuenta que una vez se cierre el software de simulación, la forma de onda no queda salvada a menos que se guarden los comandos en un archivo wave.do. En este caso, si se tiene este archivo y se desea abrir el proyecto de Quartus II, repetir los pasos descritos hasta la pagina 2 y luego en la zona de consola de Modelsim, digitar “do wave.do” y se cargaran las ondas de las entradas listas para ser simuladas.