116
PONTIFICIA UNIVERSIDAD CATÓLICA DE VALPARAÍSO – CHILE ESCUELA DE INGENIERÍA ELÉCTRICA INVESTIGACIÓN DE LOS DESBALANCES DE TENSIONES EN LOS CONDENSADORES Y ESFUERZOS DE TENSIÓN-CORRIENTE EN LOS COMPONENTES DEL INVERSOR MULTINIVEL HÍBRIDO SIMÉTRICO FELIPE FILSECKER DIEZ INFORME FINAL DEL PROYECTO PRESENTADO EN CUMPLIMIENTO DE LOS REQUISITOS PARA OPTAR AL TÍTULO PROFESIONAL DE INGENIERO CIVIL ELÉCTRICO Abril de 2009

PONTIFICIA UNIVERSIDAD CATÓLICA DE VALPARAÍSO – CHILE ...lep.eie.ucv.cl/Tesis_FelipeFilsecker.pdf · bado el texto del Informe Final del Proyecto de Titulación, desarrollado

  • Upload
    others

  • View
    12

  • Download
    0

Embed Size (px)

Citation preview

  • PONTIFICIA UNIVERSIDAD CATÓLICA DE VALPARAÍSO – CHILE

    ESCUELA DE INGENIERÍA ELÉCTRICA

    INVESTIGACIÓN DE LOS DESBALANCES DE TENSIONES EN LOS

    CONDENSADORES Y ESFUERZOS DE TENSIÓN-CORRIENTE EN LOS

    COMPONENTES DEL INVERSOR MULTINIVEL HÍBRIDO SIMÉTRICO

    FELIPE FILSECKER DIEZ

    INFORME FINAL DEL PROYECTO

    PRESENTADO EN CUMPLIMIENTO

    DE LOS REQUISITOS PARA OPTAR

    AL TÍTULO PROFESIONAL DE

    INGENIERO CIVIL ELÉCTRICO

    Abril de 2009

  • INVESTIGACIÓN DE LOS DESBALANCES DE TENSIONES EN LOS

    CONDENSADORES Y ESFUERZOS DE TENSIÓN-CORRIENTE EN LOS

    COMPONENTES DEL INVERSOR MULTINIVEL HÍBRIDO SIMÉTRICO

    INFORME FINAL

    Presentado en cumplimiento de los requisitos

    para optar al título profesional de

    Ingeniero Civil Eléctrico

    otorgado por la

    Escuela de Ingeniería Eléctrica

    de la

    Pontificia Universidad Católica de Valparaíso

    Felipe Filsecker Diez

    Profesor Guía Sr. Domingo Ruiz CaballeroProfesor Correferente Sr. Reynaldo Ramos AstudilloProfesor Correferente Sr. René Sanhueza Robles

    Abril de 2009

  • ACTA DE APROBACIÓN

    La Comisión Calificadora designada por la Escuela de Ingeniería Eléctrica ha apro-bado el texto del Informe Final del Proyecto de Titulación, desarrollado entre elsegundo semestre de 2007 y el primer semestre de 2008, y denominado

    INVESTIGACIÓN DE LOS DESBALANCES DE TENSIONES EN LOS

    CONDENSADORES Y ESFUERZOS DE TENSIÓN-CORRIENTE EN LOS

    COMPONENTES DEL INVERSOR MULTINIVEL HÍBRIDO SIMÉTRICO

    Presentado por el Señor

    Felipe Filsecker Diez

    Domingo Ruiz Caballero

    Profesor Guía

    Reynaldo Ramos Astudillo

    Segundo Revisor

    Raimundo Villarroel Valencia

    Secretario Académico

    Valparaíso, abril de 2009

  • A Laura Damián

  • INVESTIGACIÓN DE LOS DESBALANCES DE TENSIONES EN LOS

    CONDENSADORES Y ESFUERZOS DE TENSIÓN-CORRIENTE EN LOS

    COMPONENTES DEL INVERSOR MULTINIVEL HÍBRIDO SIMÉTRICO

    Felipe Filsecker Diez

    Profesor Guía Sr. Domingo Ruiz Caballero

    RESUMEN

    En este proyecto de título se presenta un completo estudio del inversor mul-

    tinivel híbrido simétrico desarrollado en el LEP-PUCV. Primero se presenta una

    descripción del inversor, a continuación se analizan los esfuerzos de tensión y co-

    rriente presentes en cada uno de sus componentes, derivando las expresiones

    analíticas que permiten estimarlos. Luego se analiza la problemática del balance

    en el enlace continuo, que incluye una explicación teórica del problema, simulacio-

    nes digitales y resultados experimentales. Esto se ve complementado con un aná-

    lisis de las pérdidas en el inversor, las que son calculadas a través de expresiones

    aproximadas, como también a través de métodos numéricos de mayor exactitud.

    Finalmente, se analiza el comportamiento del inversor en contraste con otros mo-

    delos vigentes actualmente y se extraen conclusiones acerca de sus ventajas y

    desventajas.

  • v

    ÍNDICE GENERAL

    1. PRESENTACIÓN DEL INVERSOR 31.1. CÉLULA DE TRES NIVELES CT 31.2. INVERSOR MONOFÁSICO PUENTE COMPLETO IH1ΦFB-CT 31.2.1. Topología 31.2.2. Estrategia de modulación 61.2.3. Forma de onda y espectro armónico 71.3. INVERSOR TRIFÁSICO PUENTE COMPLETO IH3ΦFB-CT 91.3.1. Topología 91.3.2. Estrategia de modulación 111.3.3. Formas de onda y espectro armónico 111.4. GENERALIZACIÓN DEL IHFB 121.5. CONCLUSIONES 16

    2. ESFUERZOS DE TENSIÓN-CORRIENTE 182.1. INTRODUCCIÓN 182.2. ESFUERZOS DE TENSIÓN 182.2.1. Análisis teórico 182.2.2. Resultados Experimentales 202.3. ESFUERZOS DE CORRIENTE 202.3.1. Aspectos generales 202.3.1.1. Corriente ideal en la carga 212.3.1.2. Definiciones de corriente media y efectiva 212.3.2. Cálculos analíticos 232.3.2.1. Célula CT 232.3.2.2. Puente H 232.4. DIMENSIONAMIENTO DE LOS CONDENSADORES 252.5. CONCLUSIONES 28

    3. ESTUDIO DEL BALANCE DEL ENLACE CC 293.1. INTRODUCCIÓN 293.2. REVISIÓN BIBLIOGRÁFICA 303.2.1. Lazo abierto 303.2.2. Lazo cerrado 323.2.2.1. PWM basado en portadora 323.2.2.2. PWM vectorial espacial 323.2.3. Circuitos adicionales 333.2.4. Otros estudios 333.3. ANÁLISIS TEÓRICO 343.4. SIMULACIONES DIGITALES 363.4.1. Metodología 373.4.2. Resultados 39

  • vi

    3.4.2.1. Forma de onda de la tensión de salida 393.4.2.2. Variación de fsw 393.4.2.3. Variación de otros parámetros 433.4.3. Conclusiones 453.5. RESULTADOS EXPERIMENTALES 453.5.1. Variación de la frecuencia de conmutación fsw 453.5.2. Variación del índice de modulación ma 463.5.3. Capacitancia desigual 463.6. CONCLUSIONES 51

    4. ESTUDIO DE LAS PÉRDIDAS 534.1. PÉRDIDAS DE CONMUTACIÓN 534.1.1. Interruptores (CT) 534.1.2. Diodos en antiparalelo (CT) 574.1.3. Puente H 574.1.4. Pérdidas de conmutación totales 584.1.5. Gráficos 584.2. PÉRDIDAS DE CONDUCCIÓN 594.2.1. Pérdidas de conducción totales 624.2.2. Gráficos 624.3. PÉRDIDAS TOTALES 624.4. MODELO DE PÉRDIDAS NUMÉRICO 644.4.1. Modelo de los módulos IGBT/Diodo 664.4.2. Cálculo de pérdidas 674.5. COMPARACIÓN MÉTODO ANALÍTICO Y NUMÉRICO 684.6. CONCLUSIONES 68

    5. ANÁLISIS COMPARATIVO DEL INVERSOR 715.1. PRESENTACIÓN DE LAS TOPOLOGÍAS 715.1.1. Diode Clamped (DCL VSC) 715.1.2. Condensador Flotante (FLC VSC) 715.1.3. Puente H de 2 niveles conectado en serie (SC2LHB VSC) 745.1.4. Puente H NPC (NPCHB VSC) 745.2. NÚMERO DE COMPONENTES 755.3. USO DE LOS SEMICONDUCTORES Y DISTRIBUCIÓN DE PÉRDIDAS 775.3.1. Metodología 775.3.1.1. Modulación con inyección de tercera armónica 785.3.1.2. Modelo de pérdidas 785.3.1.3. Corriente nominal ideal 785.3.1.4. Cálculo térmico 805.3.1.5. Potencia de Interruptores Instalada 805.3.2. Resultados 815.4. CONCLUSIONES 86

    CONCLUSIONES 89

    APÉNDICE A: SIMULACIONES ADICIONALES PARA EL ESTUDIO DEL BALANCE A-1

  • vii

    APÉNDICE B: CIRCUITOS DE BALANCE PARA CONEXIÓN DE SEMICONDUC-TORES EN SERIE B-1

    APÉNDICE C: ALGORITMO PARA CÁLCULO DE PÉRDIDAS C-1

    APÉNDICE D: MODELOS DE SEMICONDUCTORES D-1

  • viii

    GLOSARIO DE TÉRMINOS

    VARIABLES

    φ Ángulo de carga∆Vcap Ondulación de tensión en los capacitores del enlace continuoωt0 Límite de integración inferior en las pérdidas de conducciónωt1 Límite de integración superior en las pérdidas de conducciónCx Capacitancia del condensador x del enlace continuoDn Razón cíclica del n-ésimo periodo, duty cycleD(t) Función de modulación, describe la variación de Dn en función de tDX Diodo en antiparalelo asociado al interruptor XE Tensión aplicada a cada condensador del enlace continuo (E = Vdc/2)Eoff Energía disipada durante el apagado de un interruptorEon Energía disipada durante el encendido de un interruptorErec Energía disipada durante el apagado de un diodo (recuperación inversa)f1Cb Frecuencia en torno a la cual se centra la primera banda portadora del

    contenido armónicofsin Frecuencia de la moduladorafsw Frecuencia de conmutaciónF P Factor de potencia cosφIavg Corriente mediaiC Corriente de colectorIC ,n Corriente nominal idealIC ,nom Corriente nominal de la hoja de datosIcap Corriente efectiva a través de los capacitores del enlace continuoiL Corriente en la cargaiph Corriente de líneaIrms Corriente efectivaix Corriente en el nivel x del enlace contínuo, donde x = {1,2,3}ÎL Amplitud de la componente fundamental de la corriente en la carga (por

    fase)Iref Corriente de referencia utilizada en las pruebas de encendido y apagadoL Número de niveles de la tensión de faseLl l Número de niveles de la tensión de líneama Índice de modulaciónmf Índice de frecuencia mf = fsw/fsinN Numero de conmutaciones por un ciclo de la fundamental (N = mf )SX Interruptor X, también indica estado del mismo (1 encendido, 0 apagado)T Periodo de la fundamental (1/fsin))Tj ,max Temperatura de juntura (junction) máx. admisibleTsw Periodo de conmutaciónvxy Tensión instantanea entre los terminales x-y

  • ix

    VCE Tensión aplicada entre colector y emisorVdc Tensión continua aplicada en el enlace continuoVLL Tensión de líneaVm Señal moduladora sinusoidal para PWMVT1, VT2 Señales portadoras triangulares para PWMVref Tensión de referencia utilizada en las pruebas de encendido y apagado

    SIGLAS

    CC Corriente ContinuaCT Célula de 3 nivelesDCL Fijado por diodos (Diode Clamped)ESR Resistencia Serie Equivalente (Equivalent Series Resistance)FLC Condensador Flotante (Flying Capacitor)IGBT Insulated Gate Bipolar TransistorIGCT Integrated Gate Commutated ThyristorIH1ΦFB-CT Inversor Híbrido Monofásico Puente Completo basado en la CTIH3ΦFB-CT Inversor Híbrido Trifásico Puente Completo basado en la CTIHFBCT Abreviación de IH3ΦFB-CTIHFB Inversor Híbrido Puente CompletoLEP Laboratorio de Electrónica de PotenciaLKC Ley de Kirchhoff de CorrienteNPC Fijado al punto neutro (Neutral Point Clamped)NPCHB Puente H basado en NPCOP Punto de Operación (Operation Point)PN Punto NeutroPS Desplazamiento de fase (Phase Shift)PD Disposición de fase (Phase Disposition)POD Disposición de oposición de fase (Phase Opposition Disposition)PWM Modulación por ancho de pulso (Pulse Width Modulation)SC2LHB Puente H de 2 Niveles Conectado en SerieSPWM Modulación por ancho de pulso sinusoidalSVPWM Modulación por ancho de pulso vectorial espacial (Space Vector PWM)THD Distorsión Armónica Total (Total Harmonic Distortion)VSC Convertidor alimentado en tensión (Voltage Source Converter)

  • x

    ÍNDICE DE FIGURAS

    1.1. Célula CT 41.2. Forma de onda de la tensión vxy para la célula CT 41.3. Estados de conmutación para la CT 51.4. Inversor híbrido monofásico puente completo IH1ΦFB-CT 61.5. Forma de onda en los terminales de la CT y del puente H en el IH1ΦFB-CT 71.6. Esquema para la generación de los pulsos de comando de los interruptores 81.7. Generación de los pulsos de comando de los interruptores (mf = 7) 81.8. Forma de onda y espectro armónico de la tensión vab (mf = 27, ma = 1) 91.9. Inversor híbrido trifásico puente completo IH3ΦFB-CT 101.10. Circuito equivalente del IH3ΦFB-CT 101.11. Forma de onda de las tensiones de salida del inversor trifásico (mf = 27,

    ma = 1) 111.12. Espectro armónico de las tensiones de salida del inversor trifásico 121.13. Generalización del IHFB 131.14. Diagrama de la generación de los pulsos de comando para el IHFB gene-

    ralizado 141.15. Inversor Híbrido Puente Completo para L=9 (9L-IHFB) 151.16. Tensiones de línea del 9L-IHFB para dos tipos de modulación 151.17. Diferentes tipos de modulación utilizados en el 9L-IHFB (ma=1, fsw =750Hz) 16

    2.1. Definición de parámetros de tensión para semiconductores 192.2. Mediciones de tensión experimentales (CH4:S6 / CH2:S5 / CH1:S2 / CH3:S4) 212.3. Definición de los límites de integración para la corriente 242.4. Corrientes en el puente H 252.5. Ondulación de corriente normalizada en los condensadores icap,pu 27

    3.1. Clasificación de métodos para el balance del punto neutro. 313.2. Definición de variables para el inversor monofásico 343.3. Efecto del paso de simulación en los resultados 383.4. Tensión de línea y en los condensadores 393.5. Balance en el enlace CC para diferentes fsw (monofásico) 403.6. Balance en el enlace CC para diferentes fsw (monofásico) 413.7. Balance en el enlace CC para diferentes fsw (trifásico) 413.8. Balance en el enlace CC para diferentes fsw (trifásico) 423.9. Valor medio instantáneo de la corriente i1 en el inversor monofásico 433.10. Influencia del paso de simulación en los casos de desbalance 443.11. Esquema de la conexión del inversor para los ensayos 463.12. Variación de fsw 473.12. Variación de fsw 483.13. Variación de ma 493.13. Variación de ma 50

  • xi

    3.14. Capacitancia diferente 52

    4.1. Encendido y apagado en un IGBT 534.2. Energías de conmutación para el IGBT SKM 600GB123D 544.3. Corriente de fase iph y corriente a través del interruptor S1 y su diodo 554.4. Efecto de recuperación inversa 584.5. Pérdidas de conmutación por dispositivo 594.6. Pérdidas de conmutación totales (inversor trifásico) 604.7. Pérdidas de conducción por dispositivo 634.8. Pérdidas de conducción totales (inversor trifásico) 644.9. Pérdidas totales por dispositivo 654.10. Pérdidas totales (inversor trifásico) 664.11. Aproximación de las características a través de ajuste de primer orden 674.12. Comparación método analítico y numérico para cálculo de pérdidas 69

    5.1. Topología Diode Clamped en 3 y 5 niveles (DCL VSC) 725.2. Topología Condensador Flotante en 3 y 5 niveles (FLC VSC) 735.3. Topología Puente H de 2 niveles conectado en serie (5L) 745.4. Topologia de puente H NPC (NPCHB VSC) 755.5. Número de componentes para topologías de 5 niveles 775.6. Explicación gráfica del factor de corriente para el caso cf =2 795.7. Modelo para cálculos térmicos 805.8. Potencia de interruptores instalada relativa para diferentes tensiones de sa-

    lida y frecuencias de conmutación 875.9. Distribución de las pérdidas 88

    A.1. Simulaciones del desbalance en enlace CC A-2

    B.1. Circuitos pasivos para balance estático y dinámico B-3

  • xii

    ÍNDICE DE TABLAS

    1.1. Secuencia de encendido para los interruptores de la célula CT 31.2. Secuencia de encendido para los interruptores del puente H lento 61.3. Detalle de la modulación PS y PS+PD 16

    2.1. Tensión VDRM para diferentes niveles de media tensión 202.2. Variables para los cálculos de corriente de la CT 242.3. Esfuerzos de corriente en los componentes de la CT 242.4. Variables en el puente H según corriente en S/D5 y S/D8 262.5. Esfuerzos de corriente en los componentes del puente H 26

    3.1. Datos de proyecto para simulacion (fsw variable) 403.2. Parámetros del prototipo del inversor para los ensayos 45

    4.1. Límites de integración 564.2. Parámetros SKM 600GB123D (pérdidas conmutación) 594.3. Parámetros módulo EUPEC FZ600R17KE3 (pérdidas de conducción) 62

    5.1. Número de componentes para topologías de 5 niveles 775.2. Puntos de operación críticos para el cálculo de la corriente nominal ideal IC ,n 805.3. Comparación de la utilización de los semiconductores 845.4. Comparación de la utilización de los semiconductores (cont.) 85

  • INTRODUCCIÓN

    En el último tiempo el desarrollo de nuevas tecnologías de semiconductores

    de potencia (IGBTs e IGCTs principalmente) ha ayudado a la consolidación de

    topologías multinivel para aplicaciones de media tensión. Los convertidores mul-

    tinivel pueden trabajar con niveles de tensión más altos que los convencionales

    de 2 niveles, pues la tensión que debe soportar cada dispositivo individualmente

    corresponde a una fracción de la tensión total. Esto se logra a través de bancos

    de condesadores conectados en serie (enlace continuo) o con transformadores de

    múltiples salidas aisladas. El mercado actual se encuentra dominado por 2 topo-

    logías principalmente –Neutral Point Clamped (NPC) y Puente H Conectado en

    Serie (SC2LHB), ver sec. 5.1–, pero a nivel experimental existen muchas más.

    Una de ellas es el inversor híbrido multinivel simétrico presentado en [1] y [2], del

    cual hasta el momento sólo se contaba con un análisis del espectro armónico.

    Esta tesis busca profundizar en el conocimiento de este inversor. Temas como las

    pérdidas, el balance del enlace CC y las limitaciones térmicas del convertidor, ana-

    lizadas con respecto a otras topologías conocidas, son los principales aportes aquí

    expuestos. El trabajo se organiza de la siguiente manera:

    En el primer capítulo se presenta la topología multinivel objeto de este estudio,

    explicando la síntesis de los diferentes niveles de tensión y su estrategia de modu-

    lación. Se exponen las formas de onda de tensión junto con su espectro armónico.

    En la parte final se propone una generalización del convertidor, que permite esca-

    lar la topología original a cuantos niveles se deseen. Se acompaña de 2 posibles

    métodos para su modulación.

    El segundo capítulo muestra los esfuerzos de tensión y de corriente que deben

    soportar los componentes del convertidor, además de la determinación de una

    expresión que facilita el dimensionamiento de los condensadores del enlace CC.

    En el tercer capitulo se aborda el tema del balance de los condensadores del

    enlace continuo, que ha sido un tema ampliamente discutido para otras topologías

    como la NPC. Se realiza un análisis teórico, luego se complementa con simulacio-

    nes digitales y finalmente se muestran los resultados de mediciones realizadas en

    un prototipo del inversor.

    El cuarto capítulo corresponde al análisis de pérdidas en el convertidor. Prime-

  • 2

    ro, a través de simplificaciones, se obtienen expresiones analíticas que determi-

    nan las pérdidas en cada uno de los componentes. Luego se muestra un método

    numérico que permite calcular las pérdidas con mayor exactitud, basándose en

    modelos más detallados de los semiconductores y aprovechando la capacidad de

    cálculo de los computadores personales actuales. Ambos métodos son finalmente

    comparados.

    Finalmente, en el último capítulo se expone un análisis comparativo del inver-

    sor, tomando en cuenta otras topologías multinivel pensadas para aplicaciones de

    media tensión. Se incluye una comparación basada en el número de componentes

    para topologías de 5 niveles y otra basada en la utilización de los semiconductores

    y la distribución de las pérdidas.

  • CAPÍTULO 1

    PRESENTACIÓN DEL INVERSOR

    1.1. CÉLULA DE TRES NIVELES CT

    En la tesis de R. Ramos [1], resumida en el artículo [2], se toma como unidad

    base para formar la familia de inversores multinivel híbridos la célula CT (en pro-

    ceso de patente [3]) mostrada en la figura 1.1. Si la tensión CC que la alimenta es

    Vdc = 2E y consideramos la tensión en los condensadores constante y simétrica,

    entonces se cumple que

    vC1 = vC2 = Vdc/2 = E (1.1)

    Si accionamos los diferentes interruptores de la manera mostrada en la tabla

    1.1 a través de un pulso único, entonces se obtendrá en los terminales x-y una

    tensión con 3 niveles, como se detalla en la figura 1.2. Un detalle de los diferentes

    estados de conmutación de la célula CT se muestra en la figura 1.3.

    1.2. INVERSOR MONOFÁSICO PUENTE COMPLETO IH1ΦFB-CT

    1.2.1. Topología

    Si al circuito de la figura 1.1 se le agrega en sus terminales x-y un arreglo de

    interruptores en forma de puente H como se ejemplifica en la figura 1.4, es posible

    Tabla 1.1: Secuencia de encendido para los interruptores de la célula CT

    Secuencia S1 S2 S3 S4 vxy

    1 OFF ON ON OFF 02 ON OFF ON OFF E3 ON OFF OFF ON 2E4 OFF ON OFF ON E5 OFF ON ON OFF 0

  • 4

    S1

    S2

    S3

    S4

    x

    y

    C1

    C2

    Vdc

    Figura 1.1: Célula CT

    2E

    E

    S2 S3

    S1 S3

    S1 S4

    S2 S4S2 S3 t

    vxy

    Figura 1.2: Forma de onda de la tensión vxy para la célula CT

  • 5

    S1

    S2

    S3

    S4

    x

    y

    C1

    C2

    (a)

    S1

    S2

    S3

    S4

    x

    yC2

    C1

    (b)

    S1

    S2

    S3

    S4

    x

    yC2

    C1

    (c)

    S1

    S2

    S3

    S4

    x

    yC2

    C1

    (d)

    Figura 1.3: Estados de conmutación para la CT

  • 6

    C1

    C2

    S1

    S2

    S3

    S4

    S5

    S6

    S7

    S8

    carga

    x

    y

    abVdc

    Figura 1.4: Inversor híbrido monofásico puente completo IH1ΦFB-CT

    Tabla 1.2: Secuencia de encendido para los interruptores del puente H lento

    Intervalo S5 S8 S6 S7 vab

    1er semiperiodo OFF ON vxy2o semiperiodo ON OFF −vxy

    invertir la forma de onda de salida de la célula CT para que en los terminales a-b

    del puente H la forma de onda de tensión asemeje una sinusoide. De esta manera,

    se obtiene el inversor híbrido monofásico puente completo, denominado IH1ΦFB-

    CT. El modo de accionamiento de los interruptores del puente H, junto con la forma

    de onda resultante se describen en la tabla 1.2 y en la figura 1.5, respectivamente.

    De esta manera queda explicada la topología del inversor multinivel híbrido mo-

    nofásico en configuración de puente completo y la síntesis de los diferentes niveles

    de tensión. A continuación se tratará la estrategia de modulación relacionada con

    este circuito.

    1.2.2. Estrategia de modulación

    La modulación para este inversor consta de 2 partes. Una se ocupa de coman-

    dar los interruptores rápidos ubicados en la CT (S1−4), mientras que la otra acciona

    los interruptores lentos del puente H (S5−8). El diagrama para la generación de

    los pulsos de comando del inversor se presenta en la figura 1.6. En ella se apre-

    cia cómo se utiliza la técnica de PWM sinusoidal para modular los interruptores

    1-4, donde una señal moduladora sinusoidal Vm es comparada con 2 portadoras

  • 7

    2E

    E

    2E

    E

    -E

    -2E

    S6 S7

    S5 S8

    vxy

    vab

    t

    t

    Figura 1.5: Forma de onda en los terminales de la CT y del puente H en el IH1ΦFB-CT

    triangulares Vtx , a mayor frecuencia (fsw ) y desplazadas 180 grados entre sí, pa-

    ra obtener los pulsos de comando. Dentro de la modulación PWM se definen los

    índices de modulación ma y de frecuencia mf de la siguiente manera:

    ma =V̂m

    V̂t(1.2)

    mf =fswfsin

    (1.3)

    donde fsin es la frecuencia de la señal moduladora sinusoidal Vm y V̂t representa

    el valor peak de las señales portadoras triangulares.

    Los interruptores del puente H son modulados por un pulso único que resulta

    de la comparación de la señal sinusoidal con un nivel de tensión cero. El detalle de

    las formas de onda y el resultado de la comparación se muestran en la figura 1.7.

    1.2.3. Forma de onda y espectro armónico

    La forma de onda de tensión vab y su espectro armónico se muestran en la figu-

    ra 1.8, ambos normalizados con respecto a Vdc . En la forma de onda de la tensión

    se pueden apreciar los 5 niveles de tensión mencionados anteriormente (2E , E , 0,

    −E , −2E ), pero esta vez con los pulsos generados a través de la modulación PWM

  • 8

    +

    +

    abs

    +

    S1

    S2

    S3

    S4

    S6 S7

    S5 S8

    Vt1

    Vt2

    Vm

    Figura 1.6: Esquema para la generación de los pulsos de comando de los interrup-tores

    S2

    0

    S1

    S4

    0

    S3

    0 2 4 6 8 10 12 14 16 18 20

    S6−S7

    0

    S5−S8

    t [ms]

    0

    0.5

    1

    Vt1 Vt2 |Vm|

    Figura 1.7: Generación de los pulsos de comando de los interruptores (mf = 7)

  • 9

    0 2 4 6 8 10 12 14 16 18 20

    −1

    −0.5

    0

    0.5

    1

    v ab

    / Vdc

    t [ms]

    1 mf 2mf 3mf 4mf 5mf 6mf10

    −3

    10−2

    10−1

    100

    Orden (h)

    Mag

    nitu

    d no

    rm. (

    pu)

    Vab,hVdc

    Figura 1.8: Forma de onda y espectro armónico de la tensión vab(mf = 27, ma = 1)

    sinusoidal. En el espectro de frecuencia se observa que los primeros armónicos

    aparecen en un grupo centrado en 2mf , es decir, están determinados por el doble

    de la frecuencia de conmutación de los interruptores rápidos. El THD1 calculado

    para vab es de 27,66 %.

    1.3. INVERSOR TRIFÁSICO PUENTE COMPLETO IH3ΦFB-CT

    1.3.1. Topología

    La extensión trifásica del inversor expuesto en la sección 1.2 se muestra en

    la figura 1.9. Se compone de tres inversores monofásicos conectados en estrella,

    que generan una tensión en la salida con 120◦ de desfase entre ellas, como se

    observa en el circuito equivalente de la figura 1.10.

    1Total Harmonic Distortion: Distorsión Armónica Total. En este trabajo se considera para sucálculo hasta los 500 kHz

  • 10

    2

    Vdc

    2

    Vdc

    u

    v

    w

    no

    Figura 1.9: Inversor híbrido trifásico puente completo IH3ΦFB-CT

    u

    v

    w

    no

    vuo(t)

    vvo(t)

    vwo(t)

    +

    +

    +

    -

    -

    -

    vun(t)

    vvn(t)

    vwn(t)

    +

    +

    +

    -

    -

    -

    Figura 1.10: Circuito equivalente del IH3ΦFB-CT

  • 11

    0 2 4 6 8 10 12 14 16 18 20−2

    −1

    0

    1

    2

    v uv

    / Vdc

    0 2 4 6 8 10 12 14 16 18 20

    −1

    −0.5

    0

    0.5

    1

    v un

    / Vdc

    t [ms]

    Figura 1.11: Forma de onda de las tensiones de salida del inversor trifásico(mf = 27, ma = 1)

    1.3.2. Estrategia de modulación

    La estrategia de modulación es idéntica a la propuesta para el inversor mono-

    fásico, con la única salvedad que en vez de una señal sinusoidal moduladora Vm,

    existen tres, todas ellas desfasadas 120◦ entre sí.

    1.3.3. Formas de onda y espectro armónico

    Las formas de onda de la tensión de línea y de fase del inversor trifásico, junto

    con su espectro armónico se presentan en las figuras 1.11 y 1.12, respectivamen-

    te.

    En la forma de onda de la tensión de línea se aprecia como ésta tiene una

    amplitud de 2Vdc y 9 niveles de tensión, siguiendo la regla de Ll l = 2L − 1, dondeL representa a los niveles presentes en la tensión de fase (vuo) y Ll l a los de la

    tensión de línea. El contenido armónico de vuv es reducido, totalizando un THD de

    25,92 %. Por otro lado, la tensión por fase en la carga presenta un mayor número

    de niveles (13). Sin embargo, su THD, al igual que para vuv , es de 25,92 %.

  • 12

    1 mf 2mf 3mf 4mf 5mf 6mf10

    −3

    10−2

    10−1

    100

    Orden (h)

    Mag

    nitu

    d no

    rm. (

    pu)

    Vuv,h2Vdc

    1 mf 2mf 3mf 4mf 5mf 6mf10

    −3

    10−2

    10−1

    100

    Orden (h)

    Mag

    nitu

    d no

    rm. (

    pu)

    Vun,h2Vdc

    Figura 1.12: Espectro armónico de las tensiones de salida del inversor trifásico(mf = 27, ma = 1)

    1.4. GENERALIZACIÓN DEL IHFB

    A modo de complemento se presenta en esta sección una generalización del

    convertidor anteriormente expuesto. A través de ella, es posible derivar una topo-

    logía multinivel que entregue L niveles en la tensión de fase, utilizando n = p + 4

    interruptores (p = {4, 8, 12, . . .}), en donde los niveles L y los interruptores n se re-lacionan según L = n − 3. El esquema se presenta en la figura 1.13 y el diagramade la generación de los pulsos de comando en la figura 1.14. El caso de L = 9

    (n = 12), que entrega una tensión de línea con Ll l = 17 se simuló digitalmente. El

    diagrama del circuito 9L-IHFB y su control se pueden ver en la figura 1.15. La ten-

    sión de línea para 2 tipos de modulación diferente se muestran en la figura 1.16.

    El detalle de las diferentes modulaciones se puede ver en la figura 1.17.

    Existen diversos métodos de modulación para inversores multinivel, cada una

    con sus ventajas y desventajas. Para el 9L-IHFB se ocupó en un caso la modula-

    ción por Desplazamiento de Fase PS (Phase Shift) y en el otro una modulación

    híbrida resultante de la combinación de Desplazamiento de Fase PS y Disposición

    de fase PD.

  • 13

    S1S2

    Sp/2+1

    Sp/2-1

    Sp/2

    Sp/2+2

    Sp-1Sp

    C1

    Cp/4

    Cp/4+1

    Cp/2

    SH1

    SH2

    SH3

    SH4

    a

    nVdc

    Figura 1.13: Generalización del IHFB

  • 14

    +

    +

    abs

    +

    S1

    S2

    Vt1

    VmSH2 SH3

    SH1 SH4

    +Sp/2-1

    Sp/2

    Sp/2+1

    Sp/2+2

    +Sp-1

    Sp

    Vt(p/4+1)

    Vt(p/4)

    Vt(p/2)

    Figura 1.14: Diagrama de la generación de los pulsos de comando para el IHFBgeneralizado

  • 15

    S1S2

    C1

    SH1

    SH2

    SH3

    SH4

    a

    nVdc

    S3

    S4

    S5

    S6

    S7S8

    C2

    C3

    C4

    +

    +

    abs

    +

    S1

    S2

    Vt1

    VmSH2 SH3

    SH1 SH4

    +

    +

    S3

    S4

    S5

    S6

    S7

    S8

    Vt2

    Vt3

    Vt4

    Figura 1.15: Inversor Híbrido Puente Completo para L=9 (9L-IHFB)

    0 5 10 15 20

    −2

    −1

    0

    1

    2

    v uv

    / Vdc

    PS

    1 mf 2mf 3mf 4mf 5mf 6mf10

    −3

    10−2

    10−1

    100

    Mag

    nitu

    d no

    rm. (

    pu) Vuv,h

    2Vdc

    0 5 10 15 20

    −2

    −1

    0

    1

    2

    v uv

    / Vdc

    t [ms]

    PS+PD

    1 mf 2mf 3mf 4mf 5mf 6mf10

    −3

    10−2

    10−1

    100

    Orden (h)

    Mag

    nitu

    d no

    rm. (

    pu) Vuv,h

    2Vdc

    Figura 1.16: Tensiones de línea del 9L-IHFB para dos tipos de modulación (ma=1,fsw =750Hz)

  • 16

    0 2 4 6 8 10 12 14 16 18 20

    0

    0.2

    0.4

    0.6

    0.8

    1

    ref,

    carr

    PS

    0 2 4 6 8 10 12 14 16 18 20

    0

    0.2

    0.4

    0.6

    0.8

    1

    ref,

    carr

    Tiempo [ms]

    PS+PDVt1 − V

    t4

    Vt2 − V

    t3

    Figura 1.17: Diferentes tipos de modulación utilizados en el 9L-IHFB (ma=1,fsw =750Hz)

    Tabla 1.3: Detalle de la modulación PS y PS+PD

    Mod. PS Mod. PS+PDPortadora Fase Nivel CC Amp. Fase Nivel CC Amp.

    Vt1 90 0 1 0 0,5 0,5Vt2 0 0 1 0 0 0,5Vt3 180 0 1 180 0 0,5Vt4 270 0 1 180 0,5 0,5

    El primer caso, como lo muestra la figura 1.17, consiste de una moduladora

    sinusoidal rectificada y 4 portadoras Vtx , todas de la misma amplitud. En el se-

    gundo, se trabaja con 2 bandas, una desde 0 a 0,5 y la otra desde 0,5 a 1. Hay 2

    portadoras por banda y estas están separadas 180◦ entre sí. Los detalles acerca

    de estas modulaciones se puede encontrar en la tabla 1.3.

    1.5. CONCLUSIONES

    En este capitulo se ha presentado una somera descripción del inversor híbrido

    simétrico en su versión monofásica y trifásica, junto con su estrategia de modu-

    lación. Estas topologías forman la base para el estudio que se presentará en los

  • 17

    capítulos siguientes y su comprensión es de vital importancia. Mayores detalles,

    junto con otras topologías derivadas del mismo concepto de inversor híbrido se

    pueden encontrar en [1]. Además, se ha propuesto una generalización del inversor

    de 5 niveles a L niveles, incluyendo 2 métodos para su modulación.

  • CAPÍTULO 2

    ESFUERZOS DE TENSIÓN-CORRIENTE

    2.1. INTRODUCCIÓN

    En este capítulo se hace una breve descripción de los diferentes esfuerzos de

    tensión y de corriente a los que están sometidos los componentes del inversor.

    Además, se presenta una sección con guías para dimensionar adecuadamente

    los capacitores del enlace CC.

    2.2. ESFUERZOS DE TENSIÓN

    2.2.1. Análisis teórico

    Los esfuerzos de tensión en el inversor son bastante simples de determinar. Del

    circuito y la modulación utilizada se extraen las tensiones máximas soportadas por

    cada par interruptor/diodo,

    Vmax =

    {Vdc/2 para S1 − S4Vdc para S5 − S8

    (2.1)

    A máximo índice de modulación (ma = 1), se cumple que para la fundamental

    de la tensión de línea

    Vl l ,rms,1 = 1,23Vdc (2.2)

    Los semiconductores de potencia se clasifican para diferentes niveles de ten-

    sión en base al parámetro VDRM o VRRM , que para efectos prácticos son equiva-

    lentes. VDRM se refiere a la tensión máxima repetitiva directa, mientras que VRRMa la máxima repetitiva inversa. En algunos fabricantes, a esta tensión nominal se

    le denomina simplemente VCE . Se define como “la tensión máxima que el dispo-

    sitivo puede bloquear de manera repetitiva. Sobre este nivel no habrá equilibrio

    térmico y el dispositivo fallará” [4]. La figura 2.1 ejemplifica los diversos paráme-

  • 19

    Figura 2.1: Definición de parámetros de tensión para semiconductores [4]

    tros relacionados con la tensión que se pueden encontrar en la hoja de datos de

    un semiconductor de potencia.

    Según las recomendaciones de ABB en [4],

    Vcom@100F I T = 1,15Vmax (2.3)

    VDRM = VRRM = 1,5Vcom@100F I T (2.4)

    donde Vcom@100F I T representa la tensión DC nominal en el semiconductor para 100

    FIT1.

    Considerando todo lo anterior, se puede determinar el valor de VDRM en función

    de la tensión de línea requerida:

    VDRM =

    {0,5 · 1,5 · 1,15 · 1,23−1 · VLL = 0,701VLL para S1−41,5 · 1,15 · 1,23−1 · VLL = 1,40VLL para S5−8

    (2.5)

    Del resultado obtenido a través de la ecuación se elegirá el semiconductor con

    el nivel de tensión superior más cercano.

    De esta manera, se cuentan todos los datos necesarios para dimensionar el

    nivel de tensión de un semiconductor a operar dentro del inversor estudiado.

    A manera de ejemplo, en la tabla 2.1 se muestran algunos niveles de media ten-

    sión comunes, acompañados de la tensión VDRM para cada módulo IGBT/Diodo.

    1Failures In Time, una medida estadística para estimar la confiabilidad de un dispositivo bajocondiciones de operación determinadas. 1 FIT equivale a 1 falla en 109 horas de operación.

  • 20

    Tabla 2.1: Tensión VDRM para diferentes niveles de media tensión

    Vl l (kV) VDRM (kV) VDRM (kV)S1−4 S5−8

    2,3 1,6 3,23,3 2,3 4,64,16 2,9 5,9

    6 4,2 (8,5)6,6 4,6 (9,3)6,9 4,9 (9,7)7,2 5,1 (10,1)

    Entre paréntesis se encuentran aquellos parámetros que encuentran por sobre los

    6,5kV, límite actual de tensión en las tecnologías de semiconductores de poten-

    cia a nivel comercial. En esos casos es necesaria la conexión en serie de dos

    semiconductores.

    2.2.2. Resultados Experimentales

    Se construyó un prototipo del inversor en baja potencia (300W/200Vdc) y se

    configuró para fsin=50Hz y fsw =1600Hz. Las mediciones de las tensiones obtenidas

    se muestran en 2.2. Se observa que los valores máximos (parte inferior de la

    figura) concuerdan con lo propuesto anteriormente. En cifras, la tensión en S2 y S4es de 0,529Vdc y 0,5Vdc , respectivamente, lo que es cercano o igual a los 0,5Vdcteóricos. S5 y S6, por su parte, tienen tensiones máximas de 0,99Vdc y Vdc , también

    dentro de lo esperado.

    2.3. ESFUERZOS DE CORRIENTE

    2.3.1. Aspectos generales

    En esta sección se obtendrán las expresiones analíticas que determinan la co-

    rriente efectiva y media a través de cada uno de los semiconductores del inversor,

    teniendo en cuenta los siguientes aspectos:

  • 21

    Figura 2.2: Mediciones de tensión experimentales (CH4:S6 / CH2:S5 / CH1:S2 /CH3:S4)

    2.3.1.1. Corriente ideal en la carga

    La corriente iph(t) corresponde a la corriente de fase (línea) del inversor. Se

    considera como una sinusoide ideal, desfasada en un ángulo φ con respecto a la

    fundamental de la tensión en esa fase.

    iph(ωt) = Îph sin(ωt − φ) (2.6)

    2.3.1.2. Definiciones de corriente media y efectiva

    Para cada dispositivo del inversor se calcularán tanto la corriente media Iavgcomo la corriente efectiva Irms . Si la corriente es continua, estas están definidas de

    la siguiente manera:

    Iavg =1

    ∫ 2π0

    i(ωt)dωt (2.7)

    Irms =

    √1

    ∫ 2π0

    i2(ωt)dωt (2.8)

  • 22

    Como en algunos casos la corriente no es continua, sino que pulsada, la co-

    rriente se obtiene promediando los cálculos para cada ciclo de conmutación Tsw .

    Para el caso de la corriente media

    Iavg =1

    N

    ∑n

    1

    Tsw

    ∫ nTsw(n−1)Tsw

    i(t)dt (2.9)

    Aquí la variable N es equivalente al índice de frecuencia mf y representa el

    número de conmutaciones durante un ciclo de la fundamental (fsw/fsin). Se optó por

    denominarlo N durante este análisis, debido a que la expresión anterior representa

    un promedio. Tsw , por su parte, es el periodo de conmutación (1/fsw ).

    En cada periodo de conmutación habrá circulación de corriente sólo durante

    una fracción de este. Si definimos a Dn como la razón cíclica del n-ésimo perio-

    do, entonces el tiempo de conducción de corriente será DnTsw . Tomando esto en

    cuenta, reescribimos la expresión anterior como

    Iavg =1

    N

    ∑n

    1

    Tsw

    ∫ (n−1+Dn)Tsw(n−1)Tsw

    i(t)dt (2.10)

    Si Tsw es lo suficientemente pequeño, entonces se puede afirmar que la co-

    rriente i(t) se mantiene constante durante el intervalo de conducción. En ese caso,

    es posible evaluar la integral para obtener la siguiente expresión:

    Iavg =1

    N

    ∑n

    Dni(nTsw ) (2.11)

    Amplificando por Tsw/Tsw con el fin de aproximar la sumatoria con una integral,

    y teniendo en cuenta que

    1

    NTsw=

    1

    Tsin

    1

    Tsw= fsw (2.12)

    se llega a

    Iavg =ma2π

    ∫ 2π0

    D(ωt)i(ωt)dωt (2.13)

    Análogamente, la corriente efectiva en el caso de corrientes pulsadas queda

    definida como

    Irms =

    √ma2π

    ∫ 2π0

    D(ωt)i2(ωt)dωt (2.14)

  • 23

    Para evaluar estas expresiones es necesario identificar la función D(ωt), que

    describe la variación de los anchos de pulso en función del ángulo. Se sabe, por el

    tipo de modulación aplicada (ver 1.2.2), que la variación del ancho de los pulsos en

    los interruptores sigue a la moduladora, una sinusoide rectificada. Esto se cumple

    para S1 y S4, mientras que S2 y S3 variarán de manera inversa a la moduladora,

    pues la salida de la señal de comando está negada para ellos (ver Fig. 1.6). Para

    los cálculos siguientes se asume ma=1.

    2.3.2. Cálculos analíticos

    Para obtener las expresiones analíticas que determinan la corriente media y

    efectiva en los semiconductores utilizando las ecuaciones (2.13) y (2.14), es nece-

    sario tener en cuenta lo siguiente:

    En el caso de los interruptores IGBT, la corriente i(t) se refiere a la corriente

    de colector iC (t) y en el caso de los diodos, a la corriente directa iF (t) a través

    del mismo.

    En los semiconductores no hay circulación de corriente durante todo el inter-

    valo comprendido entre 0 y 2π, por lo que es necesario definir los límites de

    integración dentro de los cuales la corriente es no nula (ωt0 y ωt1).

    La periodicidad de la forma de onda de corriente es, para los dispositivos de

    la CT, equivalente a π radianes, lo que implica reajustar las expresiones de

    corriente antes definidas.

    2.3.2.1. Célula CT

    En la tabla 2.2 se muestran las diferentes variables necesarias para evaluar las

    expresiones de corriente media y efectiva en los dispositivos de la célula CT. Un

    gráfico de las corrientes a través de un par interruptor/diodo se puede ver en la

    figura 2.3. Los resultados de los cálculos se exponen en la tabla 2.3.

    2.3.2.2. Puente H

    En el puente H las formas de onda de los 4 interruptores son iguales, con la

    única salvedad de que hay un desfase de 180◦ entre los pares S5–S8 y S6–S7. Co-

    mo las corrientes media y efectiva se calculan para un periodo completo, estas

  • 24

    Tabla 2.2: Variables para los cálculos de corriente de la CT

    Dispositivo D(ωt) ωt0 ωt1 i(ωt)

    S1, S4 | sinωt| φ π iph(ωt)S3, S2 |1− sinωt| 0 φ −iph(ωt)D1, D4 | sinωt| 0 φ −iph(ωt)D3, D2 |1− sinωt| φ π iph(ωt)

    0

    0.5

    1

    −φ 0 π−φ π

    0

    0.5

    1

    −φ 0 π−φ π

    −1

    0

    1

    X/X

    max

    −φ 0 π−φ π

    iD1iS1

    iD2iS2

    iLvφ,1

    Figura 2.3: Definición de los límites de integración para la corriente

    Tabla 2.3: Esfuerzos de corriente en los componentes de la CT

    Iavg /̂Iph I2rms /̂I

    2ph

    S 1,4 12π(

    sinφ+ (π − φ) cosφ)

    16π

    (cos 2φ+ 4 cosφ+ 3

    )S 2,3 12π

    ((φ− 2) cosφ− sinφ+ 2

    )− 112π

    (3 sin 2φ+ 2 cos 2φ− 8 cosφ− 6φ+ 6

    )D 1,4 12π (sinφ− φ cosφ)

    16π (cos 2φ− 4 cosφ+ 3)

    D 2,3 12π((φ− π + 2) cosφ− sinφ+ 2

    )1

    12π

    (3 sin 2φ− 2 cos 2φ− 8 cosφ+ 6(π − φ− 1)

    )

  • 25

    0.02 0.025 0.03 0.035 0.04 0.045 0.05 0.055 0.060

    0.5

    1

    I / I m

    ax

    0.02 0.025 0.03 0.035 0.04 0.045 0.05 0.055 0.060

    0.5

    1

    I / I m

    ax

    t (s)

    S5 − S8

    S6 − S7D6 − D7

    D5 − D8

    Figura 2.4: Corrientes en el puente H

    serán idénticas para los 4 casos. Lo mismo es posible afirmar para los diodos. Sin

    embargo, las formas de onda en los semiconductores no tienen una descripción

    matemática simple, lo que dificulta el cálculo analítico, aunque no lo hace imposi-

    ble. En la figura 2.4 se muestran las formas de onda de la corriente a través de los

    interruptores y los diodos.

    Para el cálculo de las corrientes en los interruptores del puente H se tomó

    como referencia S5 y S8, que tienen corrientes idénticas. Como se puede ver en la

    figura 2.4, hay dos tipos de formas de onda de corriente identificables: Una que es

    continua2 y corresponde a |iph| y otra que es una suma de dos formas de onda,una continua equivalente a |iph/2| y otra pulsada que también sigue a |iph/2|.

    Teniendo en cuenta lo anterior, que queda resumido en la tabla 2.4, se pueden

    calcular las corrientes. Los resultados se muestran en la tabla 2.5. Cabe mencionar

    que la manera en que fueron realizados los cálculos y definidas las variables limita

    los valores que pueda tomar el ángulo φ a los comprendidos entre 0 y 180◦.

    2.4. DIMENSIONAMIENTO DE LOS CONDENSADORES

    El correcto dimensionamiento de los condensadores presentes en el enlace

    CC es vital para que el inversor cumpla su función. Para el dimensionamiento es

    necesario tener en cuenta 3 factores:2Continua en el sentido matemático, no en el sentido eléctrico.

  • 26

    Tabla 2.4: Variables en el puente H según corriente en S/D5 y S/D8

    Tipo ωt0 ωt1 D(ωt) iC (ωt)

    S 0 π + φ – 0π + φ 2π − π/6 1 |iph(ωt)|

    2π − π/6 2π 1 |iph(ωt)/2|2π − π/6 2π |sin(ωt)| |iph(ωt)/2|

    D 0 π − π/6 – 0π − π/6 π |1− sin(ωt)| |iph(ωt)/2|

    π π + φ 1 |iph(ωt)|π + φ 2π – 0

    Tabla 2.5: Esfuerzos de corriente en los componentes del puente H

    Iavg /̂Iph I2rms /̂I

    2ph

    SH 116π

    (4 cos

    (φ− 11π6

    )− sin

    (φ− 11π3

    )1

    96π

    (9 sin

    (2φ− 11π3

    )− 3 cos

    (2φ− 11π6

    )+ sinφ+

    (4 + π3

    )cosφ+ 8

    )+2 sin 2φ+ 2 cos 2φ− 24φ+ 21π − 3

    √3 + 6

    )DH 116π

    (4 cos

    (φ− 5π6

    )+ sin

    (φ− 5π3

    )+ − 196π

    (3 cos

    (2φ− 5π6

    )+ 3 sin

    (2φ− 5π3

    )+

    8 sin 2φ+ 2 cos 2φ− 24φ− π − 3√

    3 + 6)

    − sinφ−(4 + π3

    )cosφ+ 8

    )Nivel de tensión CC nominal (Rated DC Voltage)

    Ondulación de corriente (Ripple Current)

    Capacitancia

    Nivel de tensión CC nominal El primero es el más simple de determinar y co-

    rresponde para el caso estudiado a Vdc/2. Se puede tomar un margen de seguri-

    dad de 5 % o 10 %, según lo requiera la aplicación.

    Ondulación de corriente Corresponde a la componente alterna de la corriente

    que fluye a través del condensador. Para el caso del enlace CC, no hay compo-

    nente continua presente en la corriente. Es de importancia, pues es la responsable

    del calentamiento generado a través de las pérdidas en la resistencia serie equi-

    valente (ESR). Si definimos Icap como el valor efectivo de la corriente a través de

    un condensador, entonces,

    Pgen = I2cap · E SR (2.15)

    La ondulación de corriente efectiva normalizada icap,pu para cualquiera de los

  • 27

    0 20 40 60 80 100 120 140 160 1800.26

    0.28

    0.3

    0.32

    0.34

    0.36

    0.38

    Cor

    rient

    e R

    MS

    nor

    mal

    izad

    a

    Ángulo de carga φ (grados)

    Figura 2.5: Ondulación de corriente normalizada en los condensadores icap,pu

    condensadores del inversor en función del ángulo de carga φ se muestra en la

    figura 2.5. Para obtener la ondulación de corriente real, se debe aplicar la siguiente

    relación:

    Icap = icap,pu · Iph,rms (2.16)

    donde Iph,rms corresponde a la corriente efectiva en una de las fases de la carga.

    Capacitancia Su valor determinará la ondulación de voltaje permitida. Lo ideal

    sería que esta fuese cercana a cero, pero eso implica condensadores demasiado

    grandes y caros. La elección final debe ser un compromiso entre los requerimien-

    tos de espacio, presupuesto y calidad de la forma de onda.

    La componente alterna en la corriente será la encargada de producir esta on-

    dulación, la que se puede determinar a través de la Ley de Ohm,

    Vcap = Z · Icap (2.17)

    donde Z es la impedancia y Vcap es el valor RMS de la ondulación del condensador.

    A través de simulaciones se comprobó que la relación entre el valor RMS y la

    amplitud máxima es

    V̂cap = 3Vcap (2.18)

    Definimos la ondulación de tensión ∆Vcap como la amplitud peak-to-peak de la

  • 28

    tensión, o sea

    ∆Vcap = 2V̂cap = 6Vcap (2.19)

    Despreciando el efecto de la ESR y de las armónicas superiores, la impedancia

    puede ser aproximada a través de

    Z =1

    2πfsw C(2.20)

    Se utiliza la frecuencia fsw como frecuencia equivalente, pues en torno a ella se

    ubican los principales armónicos de la señal.

    Reemplazando, podemos reescribir la ecuación para la ondulación,

    ∆Vcap =6 · icap,pu · IL2π · fsw · C

    (2.21)

    Considerando el valor máximo de icap,pu posible y despejando para C , entonces

    la ec. anterior se transforma en

    Cmin =3 · 0,37 · IL

    π · fsw ·∆Vcap(2.22)

    en donde Cmin representa el valor mínimo de capacitancia requerido para que la

    ondulación no supere el margen estipulado.

    2.5. CONCLUSIONES

    En este capítulo se presentaron las expresiones que determinan los esfuer-

    zos de corriente y de tensión en cada uno de los componentes del inversor. Se

    presentaron también resultados experimentales que permitieron corroborar los es-

    fuerzos de tensión y una metodología para dimensionar adecuadamente los con-

    densadores del enlace CC, entregándose una expresión analítica para estimar la

    capacitancia en función de la ondulación de tensión deseada. Estos contenidos,

    junto con los del análisis de pérdidas, dan las herramientas necesarias para poder

    especificar un inversor correctamente.

  • CAPÍTULO 3

    ESTUDIO DEL BALANCE DEL ENLACE CC

    3.1. INTRODUCCIÓN

    El balance del enlace CC (DC-link) en convertidores multinivel es un tema de

    gran relevancia. Los convertidores multinivel se caracterizan por sintetizar los dife-

    rentes niveles de tensión en la salida a través de fuentes CC aisladas o conden-

    sadores en serie. Este último método tiene como problema que la tensión en los

    condensadores se puede ver afectada por cargas y descargas no equilibradas en

    los mismos, lo que ocasionará niveles de tensión mayores o menores a los desea-

    dos, con una consiguiente mayor distorsión en la forma de onda de salida y/o una

    distribución desequilibrada de las tensiones en los semiconductores.

    El problema de las cargas y descargas, ejemplificado en la célula CT presen-

    tada en la sección 1.1, se puede observar en la figura 1.3. En ella se muestran

    los diferentes estados de conducción de la CT. De estos, son los estados (b) y (d)

    los que ocasionan una carga/descarga del capacitor C1 y C2, respectivamente. En

    (a) los capacitores no están conectados a la salida, mientras que en (c) ambos se

    cargan/descargan de igual manera, lo que no afecta a su balance. Tema de este

    capitulo es analizar estas cargas y descargas para ver si tienen o no un efecto en

    los niveles de tensión deseados.

    Como metodología para el estudio, primero se muestra una revisión de la li-

    teratura al respecto, la que se centra principalmente en la topología NPC. Luego

    se lleva a cabo un análisis matemático del problema del desbalance, con el fin de

    encontrar una expresión analítica que lo determine. A continuación se muestran

    los resultados de simulaciones digitales realizadas para diferentes condiciones de

    operación. Finalmente, se comparan los resultados obtenidos con los experimen-

    tales extraídos de un prototipo del inversor.

  • 30

    3.2. REVISIÓN BIBLIOGRÁFICA

    Las topologías multinivel hacen uso (a excepción de los convertidores de tipo

    cascada) de un enlace CC compuesto por capacitores conectados en serie, los

    que permiten sintetizar diferentes niveles de tensión usando sólo una fuente de

    alimentación. El correcto funcionamiento de estos convertidores supone un nivel

    de tensión constante en cada uno de los condensadores, hecho que en la realidad

    no se cumple. Esto ha dado lugar al estudio del balance del enlace continuo (DC-

    link voltage balancing), también conocido como balance del punto neutro (neutral-

    point balance) para el caso de los convertidores NPC.

    El tema ha suscitado un amplio estudio en el área de convertidores multinivel

    en los últimos 15 años, pues es de vital importancia lograr un adecuado balance

    para garantizar el correcto funcionamiento de un convertidor. Un desbalance en los

    capacitores conlleva una mayor distorsión armónica en la salida y una distribución

    desigual de la tensión en los semiconductores, lo que puede provocar incluso su

    destrucción.

    Las soluciones encontradas a este problema son tantas como los estudios rea-

    lizados. Sin embargo, es posible clasificarlas según los métodos empleados. Un

    esquema de esta clasificación se muestra en la Fig. 3.1. Se puede apreciar que los

    métodos se dividen entre lazo abierto y lazo cerrado, como también entre modu-

    lación por ancho de pulso (PWM) de tipo vectorial espacial (SVPWM) y basada en

    portadora. Además, existe otra familia de soluciones que logra el balance a través

    de circuitos adicionales.

    Cabe mencionar que la gran mayoría de los estudios realizados se centra en la

    topología NPC, dada su alta popularidad y extendido uso. Los artículos reseñados

    a continuación se refieren a esta topología, a no ser que se indique lo contrario.

    3.2.1. Lazo abierto

    Las soluciones de lazo abierto se basan en modificar el algoritmo de modula-

    ción de manera tal que el desbalance en los condensadores quede minimizado.

    En otras palabras, se logra un balance natural de la tensión. No han sido tan estu-

    diadas como las de lazo cerrado.

    Un acercamiento para modulación PWM basado en portadora ha sido propues-

    to en [5]. Aquí se analiza un tipo de PWM sinusoidal (SPWM) con las portadoras

  • 31

    Figura 3.1: Clasificación de métodos para el balance del punto neutro.

    en Disposición de Oposición de Fase (POD) que provoca una mejora en el balance

    del punto neutro (PN). Además, se sugiere el uso de un filtro pasivo en la carga

    que acelera el proceso de autobalance.

    Liu et al. [6] formulan y demuestran que un algoritmo de modulación sin ar-

    mónicos pares garantiza un balance natural de los condensadores. La técnica es

    implementada a través de SVPWM, como también es el caso expuesto en [7].

    Aquí, para alcanzar el balance, se crea un algoritmo de modulación que considera

    formas de onda de salida con simetría de media onda, cuarto de onda y trifásica.

    Además, estas deben estar sincronizadas con la fundamental.

    Un caso especial, algo difícil de clasificar, es el expuesto en [8]. Pan et al.

    focalizan su investigación en sistemas de rectificador/inversor espalda con espal-

    da multiniveles. En el análisis usan la topología diode-clamped de 5 niveles (ver

    Fig. 5.1). El estudio de topologías con un enlace CC de más de dos condensa-

    dores supone dificultades mucho mayores, y se encuentra en una etapa primaria.

    En su acercamiento formulan una teoría para conmutaciones de frecuencia funda-

    mental (pulso único) que luego extienden a PWM basado en portadora. Dada la

    complejidad del sistema, un control de lazo abierto no es tan efectivo, por lo que

    además de reformular el algoritmo de modulación le agregan un control de lazo

    cerrado para corregir errores más pequeños.

  • 32

    3.2.2. Lazo cerrado

    La técnica de control de lazo cerrado ha sido ampliamente analizada. Exis-

    ten estudios formulados tanto para PWM basado en portadora [9–13], como para

    PWM vectorial espacial [14–17].

    3.2.2.1. PWM basado en portadora

    La técnica base del balance a través de PWM sinusoidal consiste en agregar

    pequeños niveles de tensión continua (positivos o negativos) a la moduladora, lo

    que tiene una repercusión directa en la tensión en los condensadores. Ogasawara

    y Akagi [9] fueron uno de los primeros en documentar un análisis del caso. Ellos

    proponen un método de balance realimentando la tensión en los capacitores, al

    igual que en [10]. En este último, sin embargo, se analiza el caso para un conver-

    tidor espalda con espalda (back-to-back) alimentando un motor de inducción. Otro

    estudio utiliza el llamado PWM discontinuo [11], elaborando un método de bastan-

    te simpleza, pero sólo válido para bajas frecuencias. Utiliza como dato la tensión

    de salida del inversor.

    Otros métodos más elaborados utilizan dos parámetros para establecer el con-

    trol deseado en vez de uno. En [12] se analiza el comportamiento de un inversor

    de cuatro niveles de tipo diode clamped. Para balancear el enlace continuo, se

    realimentan las tensiones de los condensadores y las corrientes de salida. Un re-

    ciente estudio basado en el NPC [13] hace uso de la información de las tensiones

    de salida y de los capacitores para mantener el balance a través de dos lazos de

    control.

    3.2.2.2. PWM vectorial espacial

    En SVPWM, un efecto análogo al de insertar una tensión de secuencia cero en

    la moduladora es el manejo adecuado de los tiempos de aplicación de los vectores

    redundantes. Para esto se agrupan en parejas, en donde la duración relativa de los

    vectores que generan una corriente a través del PN positiva o negativa se ajusta

    para compensar el desbalance.

    Un estudio generalizado de las diferentes soluciones propuestas con este mé-

    todo se puede encontrar en [18]. En él, Celanovic y Boroyevich hacen una revisión

    de las técnicas de balance y además proponen un modelo en coordenadas DQ pa-

    ra estudiar de mejor manera el comportamiento del NPC y su balance y sugieren

  • 33

    un método para dimensionar los capacitores.

    La mayoría de los trabajos aquí reseñados utiliza dos parámetros, a excepción

    del expuesto en [14], que considera sólo las corrientes de fase para asignar los

    vectores redundantes apropiados. El aporte de Chile al tema va de la mano de J.

    Rodríguez et al. [15], quienes establecen un método de control del PN para un rec-

    tificador NPC, a través de un sencillo método en donde realimentan la información

    de la tensión en los condensadores y el signo de la corriente alterna. Continuando

    en el estudio de rectificadores activos, Bendre y Venkataramanan muestran en [16]

    una versión modificada de [13] para rectificadores de tres niveles. Aquí también se

    recurre a dos lazos de control, realimentando la tensión en los condensadores, la

    tensión total del enlace CC y el factor de potencia en la entrada para lograr un co-

    rrecto funcionamiento del convertidor. Finalmente, Kanchan et al. [17] elaboran un

    método en donde miden el desbalance en los condensadores y la dirección del flu-

    jo de potencia para controlar un inversor, accionando un motor capaz de funcionar

    tanto en modo normal como regenerativo.

    3.2.3. Circuitos adicionales

    Como ya se mencionó en el apartado 3.2.1, el estudio [5] combinó la modifica-

    ción del algoritmo de modulación con la inclusión de un filtro de salida (balancing

    booster) para lograr un balance natural del enlace CC. Otro caso en donde el

    balance se logra a través de circuitos adicionales se muestra en [19]. Aquí se es-

    tudia el comportamiento de un inversor NPC trifásico de 4 hilos para aplicaciones

    FACTS. En su análisis se propone la utilización de un circuito de balance activo

    basado en un convertidor buck-boost de 3 niveles.

    3.2.4. Otros estudios

    Siguiendo en la topología NPC, Pou et al. han realizado estudios centrados en

    los efectos de cargas desbalanceadas y no lineales en el balance del enlace con-

    tinuo [20], como también en la evaluación de las oscilaciones de baja frecuencia

    del PN para diferentes algoritmos de SVPWM [21].

  • 34

    C1

    C2

    S1

    S2

    S3

    S4

    S5

    S6

    S7

    S8

    carga

    x

    y

    ab

    i2

    i1

    i0

    iH

    iC1

    iC2

    vC1

    vC2

    +

    -

    +

    -

    iL

    Figura 3.2: Definición de variables para el inversor monofásico

    3.3. ANÁLISIS TEÓRICO

    Antes de comenzar con el análisis, es necesario definir claramente las variables

    que serán utilizadas. Estas se muestran en la figura 3.2.

    Se definen las funciones de estado de conmutación como

    Sx =

    {1 interruptor Sx ON

    0 interruptor Sx OFF(3.1)

    SH =

    {-1 S5, S8 ON S6, S7 OFF

    1 S6, S7 ON S5, S8 OFF(3.2)

    donde x = {1,2,3,4}.La tensión en los terminales x-y se puede definir en función de los estados de

    conmutación Sx como

    vxy (t) = S1 · vC1(t) + S4 · vC2(t) (3.3)

    La tensión aplicada al enlace CC corresponde a Vdc , por lo tanto

    Vdc = vC1(t) + vC2(t) (3.4)

  • 35

    Reemplazando y reagrupando,

    vxy (t) = (S1 − S4) · vC1(t) + S4 · Vdc (3.5)

    La tensión en un condensador está dada por la siguiente expresión:

    vC1(t) =1

    C

    ∫ t1t0

    iC1(t)dt +Vdc

    2(3.6)

    Aplicando LKC en el punto medio del enlace CC,

    iC1(t) = i1(t) + iC2(t) (3.7)

    Pero

    iC2(t) = CdvC2(t)

    dt= C

    d

    dt(Vdc − vC1(t))

    = −dvC1(t)dt

    = −iC1(t)(3.8)

    Reemplazando la última expresión en (3.7),

    iC1(t) = i1(t)− iC1(t)

    iC1(t) =i1(t)

    2

    (3.9)

    Por lo tanto, es posible expresar la tensión en el condensador C1 en función de

    i1(t)

    vC1(t) =1

    2C

    ∫ t1t0

    i1(t)dt +Vdc

    2(3.10)

    De (3.4) sabemos que

    vC2(t) = Vdc − vC1(t) (3.11)

    Entonces, definimos al desbalance ∆vC como

    ∆vC (t) = vC1(t)− vC2(t)

    = 2vC1(t)− Vdc(3.12)

    Tomando en cuenta la ecuación (3.10), podemos expresar el desbalance de la

    siguiente manera:

  • 36

    ∆vC (t) =1

    C

    ∫ t1t0

    i1(t)dt (3.13)

    Se extrae que el balance de los condensadores se mantendrá, si el valor medio

    de i1(t) es cero.

    Las corrientes de los diferentes niveles del enlace CC están dadas por:

    i2(t) = S1 · iH(t) = S1 · SH · iL(t) (3.14)

    i0(t) = S4 · −iH(t) = −S4 · SH · iL(t) (3.15)

    i1(t) = −i2(t)− i0(t) = SH · (S4 − S1) · iL(t) (3.16)

    donde iL es la corriente en la carga y se define a través de la ecuación diferencial

    iL(t) =1

    R

    (vab(t)− L

    diL(t)

    dt

    )(3.17)

    Además,

    vab(t) = SH · vxy (t) (3.18)

    Resumiendo, el desbalance quedará definido por las expresiones

    ∆vC (t) =1

    CSH(S4 − S1)

    ∫ t1t0

    iL(t)dt (3.19)

    iL(t) =1

    R

    (SHvxy (t)− L

    diL(t)

    dt

    )(3.20)

    3.4. SIMULACIONES DIGITALES

    En esta sección se detallan los resultados de las diferentes simulaciones en

    relación al desbalance en el enlace CC. De ellos se extraen conclusiones que

    luego serán comprobadas a través de resultados experimentales en la siguiente

    sección.

  • 37

    3.4.1. Metodología

    Para la simulación del circuito y de las tensiones en los condensadores se

    consideraron los siguientes puntos:

    Simulaciones a través de PSIM

    Tiempo de simulación ≥ 10 s

    Paso de simulación de 100 ns

    Circuito monofásico y trifásico

    Simulaciones en función de:

    • Frecuencia de conmutación fsw

    • Indice de modulación ma

    • Capacitancia C1 6= C2

    • Resistencia serie equivalente E SR

    Se utilizó el programa PSIM, debido a su simpleza y rapidez de cómputo en

    comparación con otras alternativas disponibles. El tiempo de simulación se fijó

    sobre los 10 s, puesto que las constantes de tiempo involucradas en los conden-

    sadores son lentas. El paso de simulación se dejó en 100 ns por razones que se

    exponen más adelante. Para todas las simulaciones se consideró el inversor en su

    versión monofásica y trifásica conectado a una carga RL. Finalmente, se variaron

    diferentes parámetros del circuito para observar si el balance se ve afectado por

    ellos.

    Especial atención se prestó en la correcta definición del paso de simulación

    o time step, pues la representación de las tensiones en los condensadores es

    altamente sensible a él. Este fenómeno se puede apreciar en la figura 3.3, que

    muestra las tensiones en los condensadores para diferentes pasos de simulación

    (Vdc=3kV, C=1mF, fsw =2kHz). Se eligió para las simulaciones del desbalance un

    paso de 100 ns, que es el que brinda mayor exactitud sin hacer los tiempos de

    cómputo excesivamente largos.

  • 38

    0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 51400

    1500

    1600

    Ten

    sión

    (V

    ) ∆t = 1e−5 s

    0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 51400

    1500

    1600

    Ten

    sión

    (V

    ) ∆t = 1e−6 s

    0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 51400

    1500

    1600

    Ten

    sión

    (V

    )

    Tiempo (s)

    ∆t = 1e−7 s

    vC2

    vC1

    Figura 3.3: Efecto del paso de simulación en los resultados (Vdc=3kV, C=1mF,fsw =2kHz)

  • 39

    100 102 104 106 108 110 112 114 116 118 120

    −6000

    −3000

    0

    3000

    6000

    Ten

    sión

    [V]

    t [ms]

    100 102 104 106 108 110 112 114 116 118 1201480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    t [ms]

    vlínea

    vC1 vC2

    Figura 3.4: Tensión de línea y en los condensadores (Vdc=3kV, C=1mF,fsw =1600Hz)

    3.4.2. Resultados

    3.4.2.1. Forma de onda de la tensión de salida

    Para las simulaciones realizadas se consideró Vdc= 3kV y C=1mF. Con esta

    configuración se obtiene una tensión de línea que se muestra en la figura 3.4 para

    una fsw =1600Hz, junto con las tensiones en cada uno de los condensadores.

    3.4.2.2. Variación de fsw

    Se realizaron simulaciones del desbalance para diferentes valores de fsw (400,

    800, 1200, 1600, 2000, 2400 y 3200 Hz). Los datos de proyecto se detallan en la

    tabla 3.1. Los resultados obtenidos se muestran en las figuras 3.5 y 3.6 para el

    caso monofásico y en 3.7 y 3.8 para el trifásico.

    De las simulaciones, tanto para el sistema monofásico como para el trifásico, se

    extrae que existe una frecuencia de conmutación f ∗sw bajo la cual hay desbalance:

    menor a 800 Hz para el inversor monofásico y menor a 1200 Hz para el inversor

    trifásico.

    De ser correcta la relación entre desbalance ∆vC y el valor medio de la corriente

    i1 (ver ec. (3.13)), entonces en los casos donde las simulaciones arrojan desba-

  • 40

    Tabla 3.1: Datos de proyecto para simulacion (fsw variable)

    fsin 50 Hz C 1000 µFma 0,8 R 2.997 ΩVdc 3 kV L 42,4 mH

    0 5 10 151300

    1400

    1500

    1600

    1700

    Ten

    sión

    [V]

    fsw

    = 400 Hz

    0 5 10 151480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    Tiempo [s]

    fsw

    = 800 Hz

    vC1 vC2

    0 5 10 151480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    fsw

    = 1200 Hz

    0 5 10 151480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    Tiempo [s]

    fsw

    = 1600 Hz

    vC1 vC2

    0 5 10 151480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    fsw

    = 2000 Hz

    Tiempo [s]

    Figura 3.5: Balance en el enlace CC para diferentes fsw (monofásico)

  • 41

    0 2 4 6 8 10 12 14 16 18 201480

    1490

    1500

    1510

    1520T

    ensi

    ón [V

    ]fsw

    = 2400 Hz

    0 2 4 6 8 10 12 14 16 18 201480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    Tiempo [s]

    fsw

    = 3200 Hz

    vC1 vC2

    Figura 3.6: Balance en el enlace CC para diferentes fsw (monofásico)

    0 1 2 3 4 5 6 7 8 9 10500

    1000

    1500

    2000

    2500

    Ten

    sión

    [V]

    fsw

    = 400 Hz

    0 2 4 6 8 10 12 14 16 18 201460

    1480

    1500

    1520

    1540

    Ten

    sión

    [V]

    Tiempo [s]

    fsw

    = 800 Hz

    vC1 vC2

    Figura 3.7: Balance en el enlace CC para diferentes fsw (trifásico)

  • 42

    0 2 4 6 8 10 12 14 16 18 201480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    fsw

    = 1200 Hz

    0 2 4 6 8 10 12 14 16 18 201480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    Tiempo [s]

    fsw

    = 1600 Hz

    vC1 vC2

    0 2 4 6 8 10 12 14 16 18 201480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    fsw

    = 2000 Hz

    0 2 4 6 8 10 12 14 16 18 201480

    1490

    1500

    1510

    1520

    Ten

    sión

    [V]

    Tiempo [s]

    fsw

    = 2400 Hz

    vC1 vC2

    0 2 4 6 8 10 12 14 16 18 201490

    1495

    1500

    1505

    1510

    Ten

    sión

    [V]

    fsw

    = 3200 Hz

    Tiempo [s]

    Figura 3.8: Balance en el enlace CC para diferentes fsw (trifásico)

  • 43

    2.5 3 3.5 4 4.5 50

    0.01

    0.02

    0.03

    0.04

    0.05

    0.06

    Valor medio de la corriente i1

    Cor

    rient

    e [A

    ]

    Tiempo [s]

    fsw = 400 Hz fsw = 800 Hz

    Figura 3.9: Valor medio instantáneo de la corriente i1 en el inversor monofásico

    lance (400 Hz mono- y trifásico y 800 Hz trifásico) deberían también mostrar una

    diferencia en el valor medio de i1 con respecto a alguno de los casos balanceados.

    Con el fin de comprobar esta hipótesis, se graficó el valor medio instantáneo de i1para un caso desbalanceado y para uno balanceado, lo que se puede apreciar en

    la figura 3.9. En ella se constata cómo el valor del caso balanceado (800 Hz) tiene

    una corriente con un valor medio que se tiende a cero, mientras que en el caso

    desbalanceado esta es claramente superior a cero. De esta manera queda com-

    probada la relación entre el valor medio y el desbalance, planteada en la sección

    3.3.

    Además, a modo de comprobar que el paso de simulación no influyó en la si-

    mulación de los casos con desbalance, se realizaron simulaciones extra con un

    paso de simulación de 10 ns para un caso de desbalance monofásico y otro tri-

    fásico. Los resultados expuestos en las figura 3.10 no muestran variación alguna

    con respecto al paso de simulación, lo que descarta un error en la determinación

    de los parámetros.

    3.4.2.3. Variación de otros parámetros

    Para observar el comportamiento del balance de los condensadores en función

    de otras variables, se realizaron simulaciones variando los siguientes parámetros:

    Índice de modulación

    0,3 < ma < 1

    Capacitancias del enlace CC

    C1 = 850 µF & C2 = 1150 µF

    C1 = 500 µF & C2 = 1500 µF

  • 44

    0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 51400

    1450

    1500

    1550

    1600

    Ten

    sión

    [V]

    ∆t = 100 nsfsw = 400 Hz

    0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 51400

    1450

    1500

    1550

    1600

    Ten

    sión

    [V]

    Tiempo [s]

    ∆t = 10 nsfsw = 400 Hz

    vC1 vC2

    (a) Inversor monofásico

    0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 51200

    1400

    1600

    1800

    Ten

    sión

    [V]

    ∆t = 100 nsfsw = 400 Hz

    0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 51200

    1400

    1600

    1800

    Ten

    sión

    [V]

    Tiempo [s]

    ∆t = 10 nsfsw = 400 Hz

    vC1 vC2

    (b) Inversor trifásico

    Figura 3.10: Influencia del paso de simulación en los casos de desbalance

  • 45

    Tabla 3.2: Parámetros del prototipo del inversor para los ensayos

    Vdc 200 V R 120 ΩRp 22 kΩ L 2,01 mH

    Resistencia serie equivalente (ESR) de los condensadores

    E SR1 = E SR2 = 224 mΩ

    E SR1 = 100 mΩ & E SR2 = 300 mΩ

    En todos los casos simulados no se detectó desbalance alguno.

    3.4.3. Conclusiones

    De las simulaciones realizadas en esta sección se concluye que sólo la fre-

    cuencia de conmutación fsw tiene influencia en el desbalance del enlace CC. Se

    detectaron casos de desbalance cuando esta frecuencia se encuentra bajo un va-

    lor f ∗sw , que para el inversor monofásico es menor que 800 Hz y en el trifásico,

    menor que 1200 Hz. Esto se puede deber a que a bajas frecuencias de conmu-

    tación la forma de onda de la corriente i1 pierde simetría con respecto al eje del

    tiempo, lo que ocasiona un valor medio distinto a cero.

    3.5. RESULTADOS EXPERIMENTALES

    En esta sección se muestran los resultados obtenidos en los ensayos de un

    prototipo monofásico del inversor alimentando una carga R-L. Los parámetros que

    se mantuvieron fijos se detallan en la tabla 3.2. El dibujo esquemático de las co-

    nexiones se muestra en la figura 3.11.

    3.5.1. Variación de la frecuencia de conmutación fsw

    ma = 0,94 / C1 = C2 = 9,4 mF / fsw = 300, 800, 1600 Hz

    En este ensayo se busca comprobar los efectos de la variación de fsw sobre el

    balance de los capacitores, en especial el problema de desbalance que se da a

    baja frecuencia en las simulaciones. Para esto se tomaron 3 valores diferentes de

  • 46

    Varivolt

    InversorR

    L

    Rp

    Rp

    C1

    C2

    +

    -

    vL

    -

    +

    Vdc

    Figura 3.11: Esquema de la conexión del inversor para los ensayos

    fsw , 300, 800 y 1600 Hz. Los resultados, expuestos en la figura 3.12, demuestran

    que no hay desbalance para ninguno de los casos, descartando así la hipótesis del

    desbalance a fsw bajas. Tanto las tensiones vC1 como vC2 se mantienen constantes

    en un valor cercano a Vdc/2.

    Las condiciones del ensayo, no obstante, son diferentes a las simuladas en la

    sección 3.4, lo que hace que los resultados no sean directamente comparables.

    A modo de complemento se han incluido nuevas simulaciones con los mismos

    parámetros usados para este ensayo, mostradas en el apéndice A. De ellas se

    extrae que las simulaciones siguen arrojando desbalance para el caso de fsw =

    300 Hz, por lo que no representan fielmente el comportamiento real del circuito.

    3.5.2. Variación del índice de modulación ma

    ma = 0,3; 0,6; 1 / C = 9,4 mF / fsw = 1600 Hz

    Finalmente, el último ensayo examina el efecto del índice de modulación en el

    balance. Los resultados (ver figura 3.13), como era de esperar según las simula-

    ciones, no muestran desbalance en ninguno de los casos.

    3.5.3. Capacitancia desigual

    ma = 0,94 / C1 = 9,4 mF, C2 = 14,4 mF / fsw = 1600 Hz

    A través de este ensayo se estudia la influencia de un enlace CC compues-

    to con condensadores de diferentes capacitancias en el balance del mismo. Los

    resultados obtenidos (ver figura 3.14) no difieren en su aspecto cualitativo de los

  • 47

    (a) fsw = 300 Hz

    (b) fsw = 800 Hz

    Figura 3.12: Variación de fsw(CH1: vC1 / CH2: vC2 / CH4: vL)

  • 48

    (c) fsw = 1600 Hz

    Figura 3.12: Variación de fsw (continuación)(CH1: vC1 / CH2: vC2 / CH4: vL)

  • 49

    (a) ma = 0,3

    Figura 3.13: Variación de ma(CH1: vC1 / CH2: vC2 / CH4: vL)

  • 50

    (b) ma = 0,6

    (c) ma = 1

    Figura 3.13: Variación de ma (continuación)(CH1: vC1 / CH2: vC2 / CH4: vL)

  • 51

    obtenidos a través de simulaciones digitales, no manifestando ningún tipo de des-

    balance.

    3.6. CONCLUSIONES

    Con los resultados obtenidos del prototipo del inversor es posible concluir que

    no hay desbalance en el enlace CC para las condiciones de operación estudiadas.

    En particular, ha quedado en evidencia que los casos de bajas frecuencias de con-

    mutación que sí muestran desbalance en las simulaciones digitales no representan

    fielmente el comportamiento del circuito real.

  • 52

    (a) Time/div = 4 ms

    (b) Time/div = 40 ms

    Figura 3.14: Capacitancia diferente(CH1: vC1 / CH2: vC2 / CH4: vL)

  • CAPÍTULO 4

    ESTUDIO DE LAS PÉRDIDAS

    4.1. PÉRDIDAS DE CONMUTACIÓN

    4.1.1. Interruptores (CT)

    Las pérdidas de conmutación en un semiconductor se originan debido a que

    el tiempo de conmutación de estos desde el estado de encendido al de apagado

    no es instantáneo. Al no serlo, existe un intervalo en el que la corriente baja y la

    tensión comienza a subir, como se observa en la figura 4.1.

    Las energías involucradas en estos procesos de encendido y de apagado están

    dadas por las siguientes ecuaciones:

    Eon =

    ∫ T1T0

    Pon(T )dt (4.1a)

    Eoff =

    ∫ T1T0

    Poff (t)dt (4.1b)

    Las pérdidas en un interruptor que funciona a una frecuencia de conmutación

    Figura 4.1: Encendido y apagado en un IGBT [22]

  • 54

    Figura 4.2: Energías de conmutación para el IGBT SKM 600GB123D

    fsw dada se calculan a través de la expresión

    Psw =1

    N

    ∑n

    (Eon(nTsw )

    Tsw+

    Eoff (nTsw )

    Tsw

    )(4.2)

    Aquí la variable N es equivalente al índice de frecuencia mf y representa el

    número de conmutaciones durante un ciclo de la fundamental (fsw/fsin). Se optó por

    denominarlo N durante este análisis, debido a que la expresión (4.2) representa un

    promedio. Tsw , por su parte, es el periodo de conmutación (1/fsw ).

    Cabe destacar que las variables Eon y Eoff no son constantes, sino que depen-

    dientes de la corriente y de la tensión aplicada. Es por esto que son funciones

    del tiempo y deben ser evaluadas para un instante determinado. En la figura 4.2

    se muestra un extracto de una hoja de datos de un IGBT, en donde se aprecia la

    variación de las energías para diferentes niveles de corriente, que es aproximada-

    mente lineal.

    Para simplicidad en el desarrollo matemático a continuación, se asume que las

    energías dependen linealmente tanto de la tensión como de la corriente.

    Eon(nTsw ) = Eon,ref ·VcomVref

    · iC ,on(nTsw )Iref

    (4.3a)

    Eoff (nTsw ) = Eoff ,ref ·VcomVref

    · iC ,off (nTsw )Iref

    (4.3b)

  • 55

    0.02 0.025 0.03 0.035 0.04 0.045 0.05 0.055 0.06

    −200

    −100

    0

    100

    200

    Tiempo (s)

    Cor

    rient

    e (A

    )

    iD1iS1iL

    Figura 4.3: Corriente de fase iph y corriente a través del interruptor S1 y su diodo

    Vref e Iref son los valores de prueba utilizados para obtener las energías y

    aparecen en la hoja de datos. iC es la corriente de colector del interruptor IGBT

    tomado como ejemplo. Vcom es la tensión aplicada al semiconductor entre colec-

    tor y emisor, que para el inversor estudiado corresponde a Vdc/2. Eon,ref y Eoff ,refcorresponden a energía disipada bajo las condiciones de Vref e Iref .

    Reemplazando (4.3) en (4.2) obtenemos

    Psw =1

    NTsw

    VcomVref

    1

    Iref

    ∑n

    (Eon,ref · iC ,on(nTsw ) + Eoff ,ref · iC ,off (nTsw )) (4.4)

    Si fsw es suficientemente alta, entonces

    iC ,on(nTsw ) = iC ,off (nTsw ) = iC (nTsw ) (4.5)

    Además, como fsw � fsin, es válido afirmar que para el inversor estudiado

    iC (nTsw ) ≈ |iph,1(nTsw )| =∣∣∣̂Iph sin(ωnTsw )∣∣∣ (4.6)

    Esta relación de la corriente iC y la corriente iph se cumple sólo durante el inter-

    valo en que el semiconductor se encuentra activo, lo que influirá en la definición

    de los límites de integración, como se verá más adelante.

    iph,1 corresponde a la componente fundamental de la corriente de fase. La co-

    rriente iC es igual a su valor absoluto, pues el puente H del inversor crea el efecto

    de rectificación de esa onda para los interruptores de la CT. Este efecto se puede

    apreciar de mejor manera en la figura 4.3.

  • 56

    Tabla 4.1: Límites de integración

    Dispositivo ωt0 ωt1

    S1, S4 0 π − φS2, S3 π − φ πD1, D4 π − φ πD2, D3 0 π − φ

    Reemplazando la aproximación de (4.6) en (4.4) se llega a

    Psw =K EswNTsw

    ∑n

    |sin(ωnTsw )| (4.7)

    donde

    K =VcomVref

    ÎphIref

    (4.8)

    Esw = Eon,ref + Eoff ,ref (4.9)

    Amplificando la ecuación anterior por Tsw/Tsw y teniendo en cuenta (2.12) po-

    demos reescribirla como

    Psw = K EswfswTsin

    ∑n

    |sin(ωnTsw )|Tsw (4.10)

    Si fsw es alta, entonces la sumatoria se aproxima a una integral.

    Psw = K EswfswTsin

    ∫ t1t0

    |sin(ωt)| dt (4.11)

    Cambiando la variable de integración a ωt,

    Psw = K Eswfsw2π

    ∫ ωt1ωt0

    |sin(ωt)| dωt (4.12)

    Para definir correctamente los límites de integración, es necesario estudiar cuál

    es el intervalo en donde conduce el interruptor. Ello queda clarificado al observar

    la figura 2.3 y los límites se resumen en la tabla 4.1. También queda claro que la

    periodicidad de la corriente a través de los semiconductores es igual a π.

  • 57

    Considerando lo anteriormente expuesto, se llega a

    Psw ,S1/S4 = K Eswfswπ

    ∫ π−φ0

    sin(ωt)dωt (4.13a)

    Psw ,S2/S3 = K Eswfswπ

    ∫ ππ−φ

    sin(ωt)dωt (4.13b)

    Evaluando las integrales se obtiene

    Psw ,S1/S4 = K Eswfswπ

    (1 + cosφ) (4.14a)

    Psw ,S2/S3 = K Eswfswπ

    (1− cosφ) (4.14b)

    que son las expresiones analíticas que determinan las pérdidas de conmutación

    en los interruptores rápidos de la célula CT.

    4.1.2. Diodos en antiparalelo (CT)

    Un análisis análogo al desarrollado para los interruptores puede ser desarrolla-

    do para los diodos en antiparalelo que los acompañan. Su resultado se muestra a

    continuación.

    Psw ,D1/D4 = K Erecfswπ

    (1− cosφ) (4.15a)

    Psw ,D2/D3 = K Erecfswπ

    (1 + cosφ) (4.15b)

    La constante Esw es reemplazada en este caso por Erec , que representa la

    energía disipada por el diodo durante el proceso de apagado, conocido como re-

    cuperación inversa (ver Fig. 4.4). En los diodos no hay prácticamente pérdidas de

    encendido.

    4.1.3. Puente H

    Las pérdidas de conmutación en todos los componentes del puente H son nu-

    las, pues conmutan con tensión cero.

  • 58

    Figura 4.4: Efecto de recuperación inversa [22]

    4.1.4. Pérdidas de conmutación totales

    Las pérdidas de conmutación totales para una fase están dadas por

    Psw ,tot,1ph = 2(Psw ,S1/S4 + Psw ,S2/S3 + Psw ,D1/D4 + Psw ,D2/D3)

    Psw ,tot,1ph =4

    π· fsw · KP · (Esw + Erec)

    (4.16)

    Para el inversor trifásico, basta amplificar por tres.

    Psw ,tot,3ph =12

    π· fsw · KP · (Esw + Erec) (4.17)

    4.1.5. Gráficos

    Con el objeto de comprender mejor el comportamiento de las pérdidas de con-

    mutación, se realizaron algunos cálculos para un inversor trifásico que utiliza mó-

    dulos IGBT EUPEC de 1,7kV/600A modelo FZ600R17KE3, que incluye además

    el diodo en antiparalelo. Los parámetros extraídos de la hoja de datos se mues-

    tran en la tabla 4.2. Además, para los cálculos se consideró un Vcom de 845,7 V y

    una fsw de 750 Hz, parámetros comunes para inversores con tensión de salida de

    2,3kV línea-línea.

    En la figura 4.5 se muestran las pérdidas que afectan a los IGBTs y a los diodos

    de manera individual. En la figura 4.6 aparecen las pérdidas totales de conmuta-

    ción en un inversor trifásico. En estos se varía el ángulo de fase y la corriente,

  • 59

    Tabla 4.2: Parámetros SKM 600GB123D (pérdidas conmutación)

    Eon 200 mJEoff 190 mJErec 145 mJVref 900 VIref 600 A

    0 3060 90

    120 150180

    0250

    500750

    10000

    100

    200

    300

    φ (deg)

    S1 / S

    4

    Iph,pk

    (A)

    Psw

    (W

    )

    0 3060 90

    120 150180

    0250

    500750

    10000

    100

    200

    300

    φ (deg)

    S2 / S

    3

    Iph,pk

    (A)

    Psw

    (W

    )

    0 3060 90

    120 150180

    0250

    500750

    10000

    50

    100

    150

    φ (deg)

    D1 / D

    4

    Iph,pk

    (A)P

    sw (

    W)

    0 3060 90

    120 150180

    0250

    500750

    10000

    50

    100

    150

    φ (deg)

    D2 / D

    3

    Iph,pk

    (A)

    Psw

    (W

    )

    Figura 4.5: Pérdidas de conmutación por dispositivo

    manteniendo el resto de los parámetros constantes.

    4.2. PÉRDIDAS DE CONDUCCIÓN

    Las pérdidas de conducción son las pérdidas que ocurren durante el tiempo en

    que el interruptor se encuentra activo. Se definen a través de la siguiente expre-

    sión:

    Pcond =1

    T

    ∫ T0

    v(t) · i(t)dt (4.18)

  • 60

    030

    6090

    120150 180

    0250

    500750

    10000

    1000

    2000

    3000

    φ (deg)Iph,pk (A)

    Psw

    ,tot (

    W)

    Figura 4.6: Pérdidas de conmutación totales (inversor trifásico)

    donde T representa un periodo de la fundamental de v(t).

    Para simplificar el análisis, es posible aproximar v(t) a través de una recta:

    v(t) = V0,x + r0,x i(t) (4.19)

    Reemplazando en la expresión para las pérdidas,

    Pcond =V0,xT

    ∫ T0

    i(t)dt +r0,xT

    ∫ T0

    i2(t)dt (4.20)

    que, a su vez, puede ser reescrita como

    Pcond = V0,x Iavg + r0,x I2rms (4.21)

    Esta última expresión facilita mucho los cálculos, pues las corrientes media y

    efectiva para cada dispositivo fueron ya calculadas en 2.3. Teniendo en cuenta

    lo anterior y la aproximación lineal de la característica dada en (4.19), se pueden

    obtener las pérdidas de conducción deseadas.

  • 61

    Interruptores (CT)

    Pcond ,S1/S4 =V0,S Îph

    (sinφ + (π − φ) cosφ

    )+

    r0,S Î2ph

    (cos 2φ + 4 cosφ + 3

    ) (4.22a)Pcond ,S2/S3 =

    V0,S Îph2π

    ((φ− 2) cosφ− sinφ + 2

    )−

    r0,S Î2ph

    12π

    (3 sin 2φ + 2 cos 2φ− 8 cosφ− 6φ + 6

    ) (4.22b)

    Diodos en antiparalelo (CT)

    Pcond ,D1/D4 =V0,D Îph

    2π(sinφ− φ cosφ)

    +r0,D Î

    2ph

    6π(cos 2φ− 4 cosφ + 3)

    (4.23a)

    Pcond ,D2/D3 =V0,D Îph

    ((φ− π + 2) cosφ− sinφ + 2

    )+

    r0,D Î2ph

    12π

    (3 sin 2φ− 2 cos 2φ− 8 cosφ + 6(π − φ− 1)

    ) (4.23b)

    Interruptores (Puente H)

    Pcond ,SH =V0,S Îph

    16π

    (4 cos

    (φ− 11π

    6

    )− sin

    (φ− 11π

    3

    )+ sinφ +

    (4 + π

    3

    )cosφ + 8

    )+

    r0,S Î2ph

    96π

    (9 sin

    (2φ− 11π

    3

    )− 3 cos

    (2φ− 11π

    6

    )+

    2 sin 2φ + 2 cos 2φ− 24φ + 21π − 3√

    3 + 6

    )(4.24)

    Diodos (Puente H)

    Pcond ,DH =V0,D Îph

    16π

    (4 cos

    (φ− 5π

    6

    )+ sin

    (φ− 5π

    3

    )− sinφ−

    (4 + π

    3

    )cosφ + 8

    )−

    r0,D Î2ph

    96π

    (3 cos

    (2φ− 5π

    6

    )+ 3 sin

    (2φ− 5π

    3

    )+

    8 sin 2φ + 2 cos 2φ− 24φ− π − 3√

    3 + 6

    )(4.25)

  • 62

    Tabla 4.3: Parámetros módulo EUPEC FZ600R17KE3 (pérdidas de conducción)

    IGBT V0,S 2,4 Vr0,S 2,3 mΩ

    Diodo V0,D 1,9 Vr0,D 0,87 mΩ

    4.2.1. Pérdidas de conducción totales

    Las pérdidas de conducción totales están dadas por la suma de las pérdidas

    de conducción de cada dispositivo del inversor. En el caso monofásico,

    Pcond ,tot,1ph = 2(Pcond ,S1/S4 + Pcond ,S2/S3 + Pcond ,D1/D4 + Pcond ,D2/D3

    )+ 4 (Pcond ,HS + Pcond ,HD)

    (4.26)

    y para el inversor trifásico,

    Pcond ,tot,3ph = 3 · Pcond ,tot,1ph (4.27)

    4.2.2. Gráficos

    Para poder observar la variación de las pérdidas de conmutación en función del

    ángulo de carga φ y de la corriente de fase Îph, se elaboraron una serie de gráficos

    3D que se muestran a en las páginas siguientes. Para ellos se consideraron los

    parámetros del módulo IGBT EUPEC de 1,7kV/600A modelo FZ600R17KE3, que

    se detallan en la tabla 4.3.

    4.3. PÉRDIDAS TOTALES

    Finalmente, resta por mostrar las pérdidas totales, que corresponden a la suma

    de las pérdidas de conmutación y las de conducción, es decir,

    Ploss = Psw + Pcond (4.28)

    La figuras 4.9 y 4.10 muestran las pérdidas totales por dispositivo y para un

  • 63

    0 3060 90

    120 150180

    0250

    500750