67
Procesos de fabricación CMOS Antonio Luque Estepa José M. Quero José M. Quero Dpto. Ingeniería Electrónica

Procesos de fabricación CMOS - us

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Procesos de fabricación CMOS - us

Procesos de fabricación CMOS

Antonio Luque Estepa José M. QueroJosé M. Quero

Dpto. Ingeniería Electrónica

Page 2: Procesos de fabricación CMOS - us

Indice

● Introducción

● Preparación

● Deposición

● Fotolitografía

● Pruebas y medicionesmediciones

● Encapsulado

Page 3: Procesos de fabricación CMOS - us

Introducción

● Procesos de fabricación CMOS● Procesos de fabricación CMOS

– Adición de material (deposición)

– Sustracción de material (grabado)

– Fotolitografía

● Encapsulado

● Medida y test

Page 4: Procesos de fabricación CMOS - us

Proceso generalPreparación

Fotolitografía

Deposición Grabados Pegado

Medidas

Page 5: Procesos de fabricación CMOS - us

Sala blanca

● Baja concentración de contaminantes. Ambiente Baja concentración de contaminantes. Ambiente controlado

● Clasificación (U.S. Federal Standard 209b)

– Clase 1: 1 partícula por pie cúbico

– Clase 10: 10 partículas por pie cúbico

– Clase 100: 100 partículas por pie cúbico– Clase 100: 100 partículas por pie cúbico

– etc.

– Referidas a partículas mayores de 0.5 µm

Page 6: Procesos de fabricación CMOS - us

Sala blanca

Page 7: Procesos de fabricación CMOS - us

Comportamiento en sala blanca

Page 8: Procesos de fabricación CMOS - us

Comportamiento en sala blanca

● Vestimenta adecuada: traje, guantes, gafas (para Vestimenta adecuada: traje, guantes, gafas (para proteger a la sala, no a la persona)

● Vestimenta de seguridad: guantes químicos, careta, protector corporal

● Plan de trabajo preparado con antelación

Seguimiento de los procedimientos normalizados ● Seguimiento de los procedimientos normalizados y las normas de seguridad

Page 9: Procesos de fabricación CMOS - us

Materiales disponibles

Obleas● Obleas

● Disoluciones químicas

● Máscaras (cromo, vidrio, oro,...)

● Material auxiliar

Page 10: Procesos de fabricación CMOS - us

Obleas de silicio y de vidrio

Page 11: Procesos de fabricación CMOS - us

Indice

● Introducción

● Preparación

● Deposición

● Fotolitografía

● Pruebas y medicionesmediciones

● Encapsulado

Page 12: Procesos de fabricación CMOS - us

Pasos preparatorios● Obleas de silicio, vidrio, pyrex, SOI (Silicon

on insulator),...

● Silicio: dopado p/n, SSP/DSP, diámetro 100mm, espesor 380/525 um, orientación <100>/<110>/<111>

Page 13: Procesos de fabricación CMOS - us

Obleas de silicio: fabricación

Page 14: Procesos de fabricación CMOS - us

Obleas de silicio: manejo

Automático

Page 15: Procesos de fabricación CMOS - us

Obleas de silicio: manejo

Manual

Page 16: Procesos de fabricación CMOS - us

Limpieza de las obleas

● Proceso RCA: limpieza antes de comenzar el Proceso RCA: limpieza antes de comenzar el procesamiento

● Paso 1: residuos orgánicos con NH4OH

● Paso 2: óxido con HF

● Paso 3: residuos metálicos con HCl

Page 17: Procesos de fabricación CMOS - us

Limpieza RCA

Page 18: Procesos de fabricación CMOS - us

Limpieza RCA

NHNHNH444OHOHOH HClHClHClHFHFHF

SecadoSecadoSecado

Agua DIAgua DIAgua DI

Page 19: Procesos de fabricación CMOS - us

Indice

● Introducción

● Preparación

● Deposición

● Fotolitografía

● Pruebas y medicionesmediciones

● Encapsulado

Page 20: Procesos de fabricación CMOS - us

Deposición de material

● Se pueden depositar materiales sobre un sustrato Se pueden depositar materiales sobre un sustrato desde

– Líquido

– Gas

– Plasma

– Sólido– Sólido

● Proceso térmico para variar sus propiedades

Page 21: Procesos de fabricación CMOS - us

Tipos de deposición

● Física

– PVD (por ejemplo, sputtering o epitaxial)

● Química

– Baja presión LPCVD

– Con plasma PECVD

– Presión atmosférica APCVD– Presión atmosférica APCVD

● Por láser

● etc.

Page 22: Procesos de fabricación CMOS - us

Dopado del silicio

● Difusión térmica, entre 950 y 1280 ºCDifusión térmica, entre 950 y 1280 ºC

– Ley de Fick de la difusión

– Poco usado hoy en día en fábricas comerciales

● Implantación iónica

– Se pueden implantar más tipos de iones que por difusióndifusión

– Buen control de la concentración

– Menor coste por oblea

Page 23: Procesos de fabricación CMOS - us

Ley de Fick

● Gobierna la difusión de los dopantes en el SiGobierna la difusión de los dopantes en el Si

t

C=

x

CD

∂∂

∂∂

2

2

● D coeficiente de difusión

C concentración de dopante● C concentración de dopante

Page 24: Procesos de fabricación CMOS - us

Oxidación

● Crecimiento de óxido por calentamiento del SiCrecimiento de óxido por calentamiento del Si

● Se forma una capa de 20 Å, que se difunde rápidamente a alta temperatura

Si + 2H20 -> SiO2 + H2 (oxidación húmeda)Si + O2 -> SiO2 (oxidación seca)

Page 25: Procesos de fabricación CMOS - us

Tipos de oxidación

● Seca: sin vapor de agua● Húmeda: con vapor de agua● Pirogénica: con hidrógeno gaseosohidrógeno gaseoso

Page 26: Procesos de fabricación CMOS - us

Crecimiento del óxido

● Al oxidar el Si, se pierde parte del mismoAl oxidar el Si, se pierde parte del mismo

● El espesor perdido es el 46% del espesor total de óxido

Page 27: Procesos de fabricación CMOS - us

Deposición física

● PVD: Physical Vapor DepositionPVD: Physical Vapor Deposition

● Los reactores funcionan a baja presión

● El origen del material a depositar puede ser sólido, líquido o gaseoso

● Evaporación térmica, epitaxia molecular, deposición por láser, etc.deposición por láser, etc.

Page 28: Procesos de fabricación CMOS - us

Evaporación térmica

● Configuración típica de ● Configuración típica de un reactor de evaporación

● Es importante tener un buen vacío

● Fuentes de calor: ● Fuentes de calor: corriente eléctrica, electrones, RF, láser

Page 29: Procesos de fabricación CMOS - us

Sputtering

● “Escupir” material encima del sustrato“Escupir” material encima del sustrato

● El material a depositar se arranca cargándolo negativamente y bombardeándolo con iones positivos de Ar.

● Ventajas sobre la evaporación

– Más materiales para depositar– Más materiales para depositar

– Más uniformidad

– Mejor control del espesor

Page 30: Procesos de fabricación CMOS - us

Sputtering

● Metales

Al, Ti, Ta, Pt, ...

● Aleaciones

Al+Si, W+Ti, ...

● Dieléctricos

SiO2, TiO2, ...

Page 31: Procesos de fabricación CMOS - us

Epitaxia molecular● MBE: Molecular Beam

EpitaxyEpitaxy

● Un cristal calentado se coloca en un flujo de átomos del material a crecer

● Proceso muy lento● Proceso muy lento

● Apropiada para pequeños espesores y precisión muy alta

Page 32: Procesos de fabricación CMOS - us

Epitaxia molecular

● La epitaxia permite el crecimiento de heteroestructuras de de heteroestructuras de semiconductores por la gran perfección cristalina que alcanza.

● Los haces moleculares inciden sobre un sustrato y diversas reacciones químicas ocasionan la reacciones químicas ocasionan la deposición de monocapas sucesivas.

Page 33: Procesos de fabricación CMOS - us

Epitaxia molecular● Mediante el adecuado control de

las especies químicas de los las especies químicas de los haces se puede variar la composición de las capas epitaxiales.

● Los requerimientos técnicos son elevados pues se exige un elevados pues se exige un perfecto control de la temperatura y vacío en la cámara de crecimiento.

Page 34: Procesos de fabricación CMOS - us

Deposición química

● CVD: Chemical Vapor DepositionCVD: Chemical Vapor Deposition

● Los elementos presentes en fase vapor reaccionan al contacto con una superficie caliente (el sustrato) para formar una película sólida

● A menudo se usa un gas inerte para facilitar el transporte del materialtransporte del material

Page 35: Procesos de fabricación CMOS - us

CVD: tipos

● PECVD: Plasma Enhanced CVDPECVD: Plasma Enhanced CVD

● APCVD: Atmospheric Pressure CVD

● LPCVD: Low Pressure CVD

● VLPCVD: Very Low Pressure CVD

● Otros...Otros...

Page 36: Procesos de fabricación CMOS - us

PECVD

● Un plasma inducido por RF transfiere la energía a Un plasma inducido por RF transfiere la energía a los gases

● Sustrato horizontal o vertical

● Control de la temperatura asegura la uniformidad

Page 37: Procesos de fabricación CMOS - us

APCVD

● De 100 Pa a 10 kPa en torno De 100 Pa a 10 kPa en torno a la presión atmosférica

● Usos principales: Si epitaxial, GaAs, InP, HgCdTe

● Deposición de SiO2 a baja ● Deposición de SiO2 a baja temperatura (LTO: Low Temperature Oxide)

Page 38: Procesos de fabricación CMOS - us

LPCVD● Menos de 10 Pa

Espesor controlado por la reacción, no por el ● Espesor controlado por la reacción, no por el transporte másico

● Por tanto, se pueden procesar muchas obleas a la vez

Page 39: Procesos de fabricación CMOS - us

LPCVD

● Ejemplos de materiales: Ejemplos de materiales: polisilicio, nitruro (SiN), nitruro de baja tensión (LSN), óxido de baja temperatura (LTO), vidrio fosfosilicado (PSG)fosfosilicado (PSG)

Page 40: Procesos de fabricación CMOS - us

LPCVD

Tubo de cuarzo

Calentadores

Puerta

Gas

Bandejas de

Termopar

Gas

Manómetro

Salida de gas

Bandejas de cuarzo

Regulación de presión

Page 41: Procesos de fabricación CMOS - us

Tensión residual en la deposición

● La deposición de materiales suele dejar tensiones La deposición de materiales suele dejar tensiones residuales en la oblea

● Hay materiales especialmente adecuados cuando la tensión es un problema

Page 42: Procesos de fabricación CMOS - us

Indice

● Introducción

● Preparación

● Deposición

● Fotolitografía

● Pruebas y medicionesmediciones

● Encapsulado

Page 43: Procesos de fabricación CMOS - us

Fotolitografía. Pasos

● Fabricación de la máscara

● Precalentado

● Deposición de fotorresina

● Recalentado

● Alineación y exposición

● Revelado

● Eliminación de fotorresina

Page 44: Procesos de fabricación CMOS - us

Fabricación de la máscara

● Sustrato de cuarzo y cromoSustrato de cuarzo y cromo

● Escritura con láser y posterior revelado

● También es posible la escritura directa en la oblea (sin máscara)

Page 45: Procesos de fabricación CMOS - us

Precalentado

200-250 ºC20 minutos

Page 46: Procesos de fabricación CMOS - us

Deposición de fotorresina

Girado a alta velocidad Girado a alta velocidad (5000 rpm) durante 30 segundos

Page 47: Procesos de fabricación CMOS - us

Recalentado

Objetivo: Objetivo: endurecer la fotorresina

90-100 ºC 25 minutos

Page 48: Procesos de fabricación CMOS - us

Alineación y exposición

Page 49: Procesos de fabricación CMOS - us

Máscara

Fabricadas en cromo y cuarzo

Coste aprox. 800 €/máscara/máscara

Page 50: Procesos de fabricación CMOS - us

Alineación

Page 51: Procesos de fabricación CMOS - us

Alineación de doble cara

Page 52: Procesos de fabricación CMOS - us

Errores en la alineación

Page 53: Procesos de fabricación CMOS - us

Revelado

Page 54: Procesos de fabricación CMOS - us

Eliminación de fotorresina● Eliminación seca (plasma)

Eliminación húmeda● Eliminación húmeda

● Descumming en el revelado, con plasma

Page 55: Procesos de fabricación CMOS - us

Indice

● Introducción

● Preparación

● Deposición

● Fotolitografía

● Pruebas y medicionesmediciones

● Encapsulado

Page 56: Procesos de fabricación CMOS - us

Pruebas y mediciones

Perfil● Perfil

● Conductividad

● Espesores de capas

● Microscopía

Page 57: Procesos de fabricación CMOS - us

Profilómetro

● Medida del perfil

● Profilómetro de aguja. La dimensión de la aguja impone la característica mínima característica mínima que es posible medir

Page 58: Procesos de fabricación CMOS - us

Conductividad

● Método de los cuatro puntosMétodo de los cuatro puntos

● Mide la resistividad. Para la resistencia hay que proporcionar el espesor

Page 59: Procesos de fabricación CMOS - us

Espesor

● Método óptico, basado en la reflectividad.Método óptico, basado en la reflectividad.

● Mide el espesor de la capa superior, sabiendo cuáles son las inferiores.

Page 60: Procesos de fabricación CMOS - us

Elipsómetro

● Medida de espesorMedida de espesor

Page 61: Procesos de fabricación CMOS - us

Microscopía óptica

Page 62: Procesos de fabricación CMOS - us

Microscopía electrónica (SEM)

Scanning Electron Microscope (SEM)

Page 63: Procesos de fabricación CMOS - us

Microscopía electrónica (TEM)

Transmission Electron Microscope Transmission Electron Microscope (TEM)

Page 64: Procesos de fabricación CMOS - us

Imágenes SEM

Page 65: Procesos de fabricación CMOS - us

Cortado de obleas

● Proporciona los dispositivos finales, a falta de Proporciona los dispositivos finales, a falta de encapsular

● Cortado con diamante

Page 66: Procesos de fabricación CMOS - us

Indice

● Introducción

● Preparación

● Deposición

● Fotolitografía

● Pruebas y medicionesmediciones

● Encapsulado

Page 67: Procesos de fabricación CMOS - us

Encapsulado