6

Click here to load reader

Tarjeta de laboratorio con CPLD

Embed Size (px)

Citation preview

Page 1: Tarjeta de laboratorio con CPLD

Programa Técnicas Digitales UPB - 142

KIT DE DESARROLLO PARA CPLD XC95XL2 XC9536XL-PC44 y XC9572XL-PC44

MANUAL DE USUARIO 1. INTRODUCCIÓN. El XC95XL2 es un kit de desarrollo para CPLD XC9536XL y XC9572XL, los cuales son producidos por la compañía Xilinx Inc. (ver tabla 1), este sistema está pensado para el desarrollo de prototipos y el uso en laboratorios de aprendizaje para estudiantes de Ingeniería Eléctrica y Electrónica.

Tabla 1. Referencias y encapsulados de los CPLD que pueden ser utilizados en el XC95XL2.

REFERENCIA ENCAPSULADO COMPUERTAS FLIP-FLOPs

XC9536XL PC-44 800 36 XC9572XL PC-44 1600 72

En la tabla 2 se encuentran los componentes necesarios para ensamblar el kit de desarrollo.

Tabla 2. Lista de componentes.

COMPONENTE DESCRIPCIÓN DEL COMPONENTE CANTIDAD

B1 Puente rectificador W04 1 C1 Condensador aluminio 470uF 16V 20% 1 C2 Condensador aluminio 47uF 16V 20% 1 C3 Condensador cerámico 0.1uF 50V 10% 1 D1 Diodo rectificador 1N4148 1 D2 Diodo led rojo 3mm 1 P1 Conector macho vertical 1x2 1 P2 Conector RJ45 para impreso 1 P3 Conector jack plug 1

P4,5 Regleta de pines macho 1x40, P4 y P5 (De 1x22 c/u)

2

R1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40

Resistencia 330Ω 1/4W 5% 40

U1 Regulador TLV2217-33 3,3V 1 U2 Cpld XC9572XL-10PC44C 1 U2 Base PLCC-44 1

Este kit esta compuesto por varios circuitos y componentes los cuales prestan facilidades al usuario en el momento de implementar un diseño, la ubicación de dichos componentes se puede apreciar en la figura 1.

Tabla 3. Circuitos y partes del XC95XL2.

NÚMERO CIRCUITO Ó PARTE

1 Conector e interfaz JTAG 2 Resistencias de protección 3 CPLD 4 Fuente de 3.3V 5 Conector de alimentación 9VDC máximo

Page 2: Tarjeta de laboratorio con CPLD

Programa Técnicas Digitales UPB - 143

Figura 1. Ubicación de circuitos y componentes en el XC95XL2.

2. DESCRIPCIÓN DE CIRCUITOS Y PARTES. 1. Conector e interfaz JTAG Conector hembra RJ45. Permite la programación del CPLD desde el puerto paralelo de un PC (usando la aplicación de Xilinx WebPack ISE). La interfaz JTAG de comunicación es necesaria para la programación del CPLD usando el modo Boundary- Scan (es el único modo de programación que soporta el CPLD). 2. Resistencias de protección El kit XC95XL2 incorpora resistencias de 330Ω en serie con cada pin del CPLD, para evitar daños por cortos esporádicos ó descargas electroestáticas. 3. CPLD Este kit está diseñado para operar con CPLDs de la familia XC9500XL (ver tabla 1). El encapsulado utilizado en este kit es el PLCC-44 (PC-44 según la notación de Xilinx). 4. Fuente de 3.3V En el kit XC95XL2 existe una fuente que es la encargada de suministrar la corriente y voltaje a todos los dispositivos (voltaje de 3.3V). La fuente posee un led indicador, el cual informa acerca de su buen funcionamiento (led rojo 3.3V). 5. Conectores de alimentación El kit XC95XL2 se puede alimentar de dos formas, mediante el uso del conector jack ó mediante el conector 1x2 macho, estos dos conectores están en paralelo y tienen la característica de ser independientes de la polaridad del voltaje aplicado, ya que cuentan con un puente rectificador. Debido al exceso de disipación de calor que puede alcanzar el regulador, el sistema XC95XL2 debe alimentarse con un voltaje recomendado de 6VDC (máximo 9VDC). Es responsabilidad del usuario cuidar este aspecto. 3. PUERTOS DEL SISTEMA XC95XL2. La interacción entre el usuario y el XC95XL2 se realiza por medio de puertos los cuales se describen en la tabla 4.

Tabla 4. Descripción de puertos del XC95XL2.

PIN CPLD

PAD XC95XL2

DESCRIPCIÓN

1 01 I/O-01, Usuario 2 02 I/O-02, Usuario 3 03 I/O-03, Usuario 4 04 I/O-04, Usuario 5 05 GCK1, I/O-05, Usuario 6 06 GCK2, I/O-06, Usuario 7 07 GCK3, I/O-07, Usuario

Page 3: Tarjeta de laboratorio con CPLD

Programa Técnicas Digitales UPB - 144

PIN CPLD

PAD XC95XL2

DESCRIPCIÓN

8 08 I/O-08, Usuario 9 09 I/O-09, Usuario 10 GND 11 11 I/O-10, Usuario 12 12 I/O-11, Usuario 13 13 I/O-12, Usuario 14 14 I/O-13, Usuario 15 TDI (Test Data Input) * 16 TMS (Test Mode Select) * 17 TCK (Test Clock) * 18 18 I/O-14, Usuario 19 19 I/O-15, Usuario 20 20 I/O-16, Usuario 21 3.3V 22 22 I/O-17, Usuario 23 GND 24 24 I/O-18, Usuario 25 25 I/O-19, Usuario 26 26 I/O-20, Usuario 27 27 I/O-21, Usuario 28 28 I/O-22, Usuario 29 29 I/O-23, Usuario 30 TDO (Test Data Output) * 31 GND 32 3.3V 33 33 I/O-24, Usuario 34 34 I/O-25, Usuario 35 35 I/O-26, Usuario 36 36 I/O-27, Usuario 37 37 I/O-28, Usuario 38 38 I/O-29, Usuario 39 39 GSR, I/O-30, Usuario 40 40 GTS2, I/O-31, Usuario 41 3.3V 42 42 GTS1, /O-32, Usuario 43 43 I/O-33, Usuario 44 44 I/O-34, Usuario

I/O - Input/Output GCK - Global Clock GSR - Global Set Reset GTS - Global Output Enable Los pines marcados con *, son pines con funciones especiales, algunos de ellos pueden ser usados por el usuario teniendo en cuenta las hojas de especificación técnica del dispositivo CPLD. Los pines marcados como Usuario en la tabla 4, pueden ser configurados como entradas, salidas ó como entrada/salida. Estos pines son compatibles con lógica 5V-TTL y CMOS, el voltaje de salida en alto es de 3.3V y la corriente máxima de entrada que puede manejar cada uno de ellos es de 10mA. 4. RECOMENDACIONES Verifique el valor correcto del voltaje de alimentación, recomendado 6VDC (9VDC máximo). Absténgase de tocar directamente los elementos en la superficie del kit XC95XL2. Al momento de manipular la tarjeta, es preferible estar aterrizado. Tenga cuidado de no generar estática con prendas de vestir y movimientos que la puedan producir. Si utiliza un circuito externo, verifique las conexiones y posibles cortos que puedan presentarse. Trabaje dentro de los límites establecidos de corriente y voltaje, procure no exigir al CPLD a sus rangos máximos.

Page 4: Tarjeta de laboratorio con CPLD

Programa Técnicas Digitales UPB - 145

5. IMPRESO DEL SISTEMA XC95XL2.

Page 5: Tarjeta de laboratorio con CPLD

Programa Técnicas Digitales UPB - 146

6. ESQUEMÁTICO DEL SISTEMA XC95XL2.

Page 6: Tarjeta de laboratorio con CPLD

Programa Técnicas Digitales UPB - 147

7. CABLE DE CONEXIÓN PARA PROGRAMACIÓN XC95XL2.

Álvaro Marín Grupo Técnicas Digitales

Universidad Pontificia Bolivariana

Medellín Colombia

2007 ©