Upload
miguel-angel-pena
View
259
Download
0
Embed Size (px)
DESCRIPTION
unidad 1 de la materia programacion VHDL. REALIZADO CON EN Kit basys2
Citation preview
SEP DGEST SNEST
INSTITUTO TECNOLÓGICO DE MATAMOROS
DEPARTAMENTO DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA
Diseño Digital con VHDL
Equipo:
Alumno(s): Núm. de control:
Mario Arturo Cruz Colunga 11260077
Miguel Angel Fierros Peña 11260081
Hermenegildo Martínez de la Cruz 11260095
Jorge Alejandro Reyes Torres 11260108
H. MATAMOROS, TAM. 17 de septiembre del 2013
Practica 3
Objetivo:
Implementar un decodificador bcd a 7 segmentos utilizando vhdl
Marco teórico:
Un decodificador bcd-7 segmentos es un circuito que convierte un número BCD en un código utilizado por los display de 7 segmentos para mostrar el número correspondiente a la combinación de entrada.
Material:
Laptop
Kit spartan3e
Software aldec HDL, xilinx ISE, adept.
Procedimiento:
Se crea nuevo proyecto en aldec HDL Se escribe el código VHDL en el nuevo proyecto
Código VHDL del decodificador BCD a 7 segmentos
library IEEE;use IEEE.STD_LOGIC_1164.all;
entity bcd7seg is port(
A: in STD_LOGIC_VECTOR(3 downto 0); ANN: out bit; G: out STD_LOGIC_VECTOR(6 downto 0)
);end bcd7seg;
architecture bcd7seg of bcd7seg isbegin
process(A)begin
ANN<='0';case A is
when "0000" =>G<="1000000";when "0001" =>G<="1111001";when "0010" =>G<="0100100";when "0011" =>G<="0110000";when "0100" =>G<="0011001";when "0101" =>G<="0010010";when "0110" =>G<="0000010";when "0111" =>G<="1111000";when "1000" =>G<="0000000";when "1001" =>G<="0010000";when others =>G<="1111111";
end case;end process;end bcd7seg;
Observaciones y conclusiones:
En el momento en que se implementó el código a el BASYS2 se pudo notar un efecto espejo al poner los números por primera vez. Por lo que solamente las combinaciones se modificaron para que los números aparecieran correctamente.