28
19/08/2008 1 Quartus II Quartus II Dr. Andrés David García García Escuela de Graduados en Ingeniería y Ciencias TE.2002 Diseño de Sistemas Digitales Quartus II Ambiente gráfico: Circuitos Básicos Construcción de un HA C t d FA Construccn de un FA Construcción de un Sumador de 8 bits Ambiente texto:VHDL Lenguaje de descripción material VHDL (Archivo PWP) Construcción de operadores aritméticos básicos usando VHDL Ambiente gráfico: Uso de Mega Funciones Ambiente gráfico: Uso de Mega Funciones Definición de un operador aritmético Definición de una memoria de tipo RAM Plataforma DE2 2

Quartus ii intro

Embed Size (px)

Citation preview

Page 1: Quartus ii intro

19/08/2008

1

Quartus IIQuartus II

Dr. Andrés David García García

Escuela de Graduados en Ingeniería y Ciencias

TE.2002 Diseño de Sistemas Digitales

Quartus IIAmbiente gráfico: Circuitos Básicos

Construcción de un HAC t ió d FAConstrucción de un FAConstrucción de un Sumador de 8 bits

Ambiente texto: VHDLLenguaje de descripción material VHDL (Archivo PWP)Construcción de operadores aritméticos básicos usando VHDL

Ambiente gráfico: Uso de Mega FuncionesAmbiente gráfico: Uso de Mega FuncionesDefinición de un operador aritméticoDefinición de una memoria de tipo RAM

Plataforma DE2

2

Page 2: Quartus ii intro

19/08/2008

2

Quartus II Versión 7.1

Barra de menús principal Sobre esta barra debe aparecer el

nombre del proyecto y la ruta del repertorio en

d d á

Navegador de niveles de jerarquía

Espacio de Trabajo

Bot

ones

de

acce

so rá

pido

de

Win

dow

s

donde está almacenado

Ayuda de Quartus II

Consola de avance de procesos

Consola de mensajes

Herramientas de Quartus II

3

Nuevo Proyecto

Barra de herramientas: botones de acceso rápido

SimuladorCompilador

SettingsPin Planner Análisis de

Tiempos SOPCBuilder

Versión del proyecto

Chip Planner

Assignments Análisis & Síntesis

TimeQuest Timing Analizer

Programador

4

Page 3: Quartus ii intro

19/08/2008

3

Archivo de Licencia

Solicitar el archivo de licencia:

lwww.altera.comEn la sección “Download” descargar el instalador de Quartus II 7.2 web edition.En la sección “licensing” solicitar licencia para Web Edition proporcionando la MAC address de la computadora.

Para verificar, renovar o actualizar licencia

5

Archivo de Licencia

Archivo de licencia

Componentes de la licencia

ID de la máquina

6

El archivo de licencia se recibe por email. Debe colocarse con extensión “.dat” dentro de una carpeta a crear en el repertorio raíz C: llamada “FlexLM”.

Page 4: Quartus ii intro

19/08/2008

4

Nuevo Proyecto

Para generar un nuevo proyecto:

Asistente para generar nuevo proyecto

Abrir archivos recientes

Abrir proyectos recientes

7

Asistente de nuevo proyecto

Definir repertorio de trabajo y nombre del proyecto:

Repertorio de Trabajo

Nombre del Proyecto

Entidad del Proyecto

8

Page 5: Quartus ii intro

19/08/2008

5

Asistente de nuevo proyecto

Definir proyectos construidos previamente y que serán usados:

Para navegar en el Disco Duro

Añadir tantos circuitos sean

necesarios en estenecesarios en este proyecto

Ordenar según tamaño

9

Asistente de nuevo proyecto

Definir la familia y el componente a utilizar:

Familia

Búsqueda de componente por empaquetado,

tamaño o velocidad

Lista de componentes

10

Page 6: Quartus ii intro

19/08/2008

6

Asistente de nuevo proyecto

Archivos de salida para otras herramientas:

Herramientas de Síntesis lógica

Herramientas de Simulación

Herramientas de Análisis de Tiempos

11

Asistente de nuevo proyecto

Resumen del Asistente:

Proyecto

Archivos y Librerías

C tComponente

Archivos de Salida

12

Page 7: Quartus ii intro

19/08/2008

7

Nuevo Circuito: HA

Se inicia diseñando un Half Adder (HA) en el ambiente esquemático.

Necesitamos abrir una hoja de trabajo nueva:Necesitamos abrir una hoja de trabajo nueva:

> File >> New

Seleccionar archivo de tipo esquemático

13

Nuevo Circuito: HA

Hoja de trabajo:

Pon el apuntador sobre la hoja y haz “doble-click” con el botón izquierdo para abrir el diálogo de librerías de componentes

Para pintar líneas que representen conexiones debe estar activado este botón

p

14

Page 8: Quartus ii intro

19/08/2008

8

Nuevo Circuito: HA

Hoja de trabajo:

Nombre del componente

Navegador

Símbolo

15

Nuevo Circuito: HA

Hoja de trabajo:

e se

o

men

te e

l nom

bre

del c

ompo

nent

em

ente

sin

nec

esid

ad d

e bu

scar

lo

16

Escr

ibie

ndo

dire

ctam

pued

e ub

icar

rápi

dam

Page 9: Quartus ii intro

19/08/2008

9

Nuevo Circuito: HA

Diseño de un Half-Adder:

Zoom

Hay que cambiar los nombres de las terminales de

Entrada/Salida

17

Nuevo Circuito: HA

Diseño de un Half-Adder:

Cambio de nombre de la E/SDa doble click sobre el símbolo de E/S, en cuanto el texto se sombree podrás editar el nombre

18

Page 10: Quartus ii intro

19/08/2008

10

Nuevo Circuito: HA

Diseño de un Half-Adder:

Selecciona el símbolo de E/S y da “click” con el botón derecho, selecciona “propiedades” y cambia el nombrecambia el nombre

19

Nuevo Circuito: HA

Diseño de un Half-Adder: Terminales de E/S

Selecciona el símbolo de E/S y da “click” con el botón derecho, selecciona “propiedades” y cambia el nombrecambia el nombre

20

Page 11: Quartus ii intro

19/08/2008

11

Nuevo Circuito: HA

Diseño de un Half-Adder: listo

21

Nuevo Circuito: HA

Diseño de un Half-Adder: Guardar el circuito

> File >> Save As

Guardar el archivo con el mismo nombre y dentro del repertorio de trabajo

22

Page 12: Quartus ii intro

19/08/2008

12

Nuevo Circuito: HA

Compilación:

AnálisisAnálisis

Elaboración

Partición (netlist)

Place & Route

Timing AnalysisTiming Analysis

Asignments

Programming

23

Nuevo Circuito: HA

Compilación:

Archivos de reporte

Progreso de cada etapa

Consola de mensajes

24

Page 13: Quartus ii intro

19/08/2008

13

Nuevo Circuito: HA

Compilación:

Crear el símbolo del componente

25

Nuevo Circuito: HA

Compilación:

Crear el símbolo del componente

>File >> Create/Update >>> Create Symbol Files from Current Files

26

Page 14: Quartus ii intro

19/08/2008

14

Nuevo Circuito: FA

Asistente de nuevo proyecto:

Repertorio de Trabajo

Nombre del Proyecto

Entidad del Proyecto

27

Nuevo Circuito: FA

Asistente de nuevo proyecto:

E tEn este caso vamos a usar 2 HA para construir el FA

Navegar para buscar el componente HA

Añadir el HA al proyecto FA

28

Page 15: Quartus ii intro

19/08/2008

15

Nuevo Circuito: FA

Diseño del FA:

Abrir página nueva del editor esquemático

Doble click en la página

Buscar el componente HA

Buscar el símbolo HA

29

Nuevo Circuito: FA

Diseño del FA:

30

Page 16: Quartus ii intro

19/08/2008

16

Nuevo Circuito: Suma8

Diseño del Sumador de 8 bits:bits:

Repertorio de Trabajo

Nombre del ProyectoNombre del Proyecto

Entidad del Proyecto

31

Nuevo Circuito: Suma8

Diseño del Sumador de 8 bits:bits:

•En este caso vamos a usar 1 HA y 7 FA para construir el sumador

Navegar para buscar el componente HA y FA

Añadir el HA y el FA al proyecto

Suma8

32

Page 17: Quartus ii intro

19/08/2008

17

Nuevo Circuito: Suma8

Diseño del Sumador de 8 bits:

Para rotar y ysacar imagen

espejo del símbolo

33

Nuevo Circuito: Suma8

Diseño del Sumador de 8 bits:

Se puede usar:

•Ctrl_C,

y

•Ctrl_V

Para copiar componentes y conexiones

34

Page 18: Quartus ii intro

19/08/2008

18

Nuevo Circuito: Suma8

Diseño del Sumador de 8 bits: Instanciación

Colocar símbolo de E/SColocar símbolo de E/S

Cambiar nombre por un vector: A[7..0]

Pintar una conexión del símbolo de E/S hacia un punto de la página en blanco

Asignar un nombre de vector a la línea: A[7..0]

35

Nuevo Circuito: Suma8

Diseño del Sumador de 8 bits: Instanciación

Se define que el símbolo de E/S es de mas de 1 bit

Se define un cable grueso de mas de 1 bit

La sintaxis A[7..0] se refiere a un vector: A[7],A[6], A[5],A[4], A[3],A[2], A[1],A[0]

36

Page 19: Quartus ii intro

19/08/2008

19

Nuevo Circuito: Suma8

Diseño del Sumador de 8 bits: final

37

SIMULACIÓN

Diseño del Sumador de 8 bits: SIMULACIÓN

> File >> New

En la pestaña de “other files” buscar el editor defiles buscar el editor de

formas de vectores

38

Page 20: Quartus ii intro

19/08/2008

20

SIMULACIÓN

Página del editor de vectores:

ñale

s de

E/S

Editor de señales y de vectores

Señ

39

SIMULACIÓN

Página del editor de vectores:

En la sección de “señales de E/S” darEn la sección de señales de E/S dar doble-click con el boton derecho

Aparece el dialogo siguiente

Podemos buscar cada señal de E/S por nombre y tipo,

O

Podemos ir al asistente “Node Finder”

40

Page 21: Quartus ii intro

19/08/2008

21

SIMULACIÓN

Página del editor de vectores: Node Finder

Filtrado de la búsqueda de las señales

Lista de señales

detectadas en la Netlist

Señales a editar

41

SIMULACIÓN

Página del editor de vectores: Node Finder

Filtrado de la búsqueda de las señales

Lista de señales

detectadas en la Netlist

Señales a editar

42

Page 22: Quartus ii intro

19/08/2008

22

SIMULACIÓN

Página del editor de vectores: Edición

Las entradas deben editarse (dibujarse)( j )

Las salidas se generan con el simulador

43

SIMULACIÓN

Página del editor de vectores: Edición

Generar un contador ascendente para el vector A

44

Page 23: Quartus ii intro

19/08/2008

23

SIMULACIÓN

Página del editor de vectores: Edición

Nota: cambiar a unsigned decimal

45

SIMULACIÓN

Página del editor de vectores: Edición

Contar cada 20 ns

46

Page 24: Quartus ii intro

19/08/2008

24

SIMULACIÓN

Página del editor de vectores: Edición

Para cambiar las propiedades de las señales o vectores

Seleccionar la señal y dar click al botón derecho

47

SIMULACIÓN

Página del editor de vectores: Edición

48

Page 25: Quartus ii intro

19/08/2008

25

SIMULACIÓN

Página del editor de vectores: Edición

Contador

Poner un valor fijo en el vector B

49

SIMULACIÓN

Página del editor de vectores: Edición

Colocar un valor decimal sin signo50

Page 26: Quartus ii intro

19/08/2008

26

SIMULACIÓN

Página del editor de vectores: Simular

Guardar el archivo dentro del mismo repertorio y con el nombre del proyecto.

Correr el simulador y visualizar el resultado

51

Sumador-Restador de 8 bits

Página del editor de vectores:

52

Page 27: Quartus ii intro

19/08/2008

27

SIMULACIÓN

Página del editor de vectores: Simular

Sombrear la sección a cambiar

Guardar el archivo dentro del mismo repertorio y con el nombre del proyecto.

Correr el simulador y visualizar el resultado

Elegir nivel lógico

53

SIMULACIÓN

Página del editor de vectores: Simular

Guardar el archivo dentro del mismo repertorio y con el nombre del proyecto.

Correr el simulador y visualizar el resultado, descomponer el vector S para verificar el resultado de la resta

54

Page 28: Quartus ii intro

19/08/2008

28

Entrada Texto: VHDL

Antes de comenzar con el diseño y simulación de circuitos lógicos y aritméticos en base a lenguajes de descripción material necesitamos saber:

¿Qué es un HDL?¿Para qué sirven los HDLs?¿Tipos de HDLs?¿Ventajas de los HDLs en el diseño de circuitos digitales?¿Sintaxis y estructuras del lenguaje?¿Sintaxis y estructuras del lenguaje?Ejemplos

Circuitos CombinatoriosCircuitos SecuencialesCircuitos Aritméticos

55